掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
China Semiconductor Technology International Conference
China Semiconductor Technology International Conference
召开年:
2012
召开地:
Shanghai(CN)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
1944
条结果
1.
MTJ-based nonvolatile logic LSI for ultra low-power and highly dependable computing
机译:
基于MTJ的非易失性逻辑LSI,用于超低功耗和高可靠的计算
作者:
Masanori Natsui
;
Tetsuo Endoh
;
Hideo Ohno
;
Takahiro Hanyu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Hafnium;
2.
PVD systems for advanced packaging applications
机译:
用于高级包装应用的PVD系统
作者:
Jinguo Zhen
;
Kuanmao Wang
;
Wei Xia
;
Hougong Wang
;
Peijun Ding
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Packaging;
Plasma temperature;
Temperature control;
Through-silicon vias;
Contact resistance;
Process control;
Contamination;
3.
Zero lag dispense to increase the etching uniformity in a single wafer wet cleaner
机译:
零滞后分配,以增加单个晶片湿式清洁器中的蚀刻均匀性
作者:
Wei Liu
;
Xiaoyan Liu
;
Yi Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Etching;
Erbium;
Silicon;
Surface cleaning;
Silicon compounds;
Oxidation;
4.
Active-performance benchmark for advanced 3D-CMOS devices
机译:
高级3D-CMOS设备的主动性能基准
作者:
Hitoshi Wakabayashi
;
Eisuke Anju
;
Iriya Muneta
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
CMOS integrated circuits;
MOSFET;
5.
RF MEMS resonant devices for wireless communication
机译:
用于无线通信的RF MEMS谐振设备
作者:
Q. Yuan
;
X. Kan
;
Z. J. Chen
;
J. L. Yang
;
J. C. Zhao
;
L. Sun
;
F. H. Yang
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Micromechanical devices;
Oscillators;
Resonator filters;
Resonant frequency;
Circuit stability;
Couplings;
Band-pass filters;
6.
An optical proximity model for negative toned developing photoresists
机译:
负调调显影光致抗蚀剂的光学接近模型
作者:
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Resists;
Polymers;
Data models;
Semiconductor device modeling;
Mathematical model;
Lithography;
Three-dimensional displays;
7.
Smart IC technologies for smart devices in IoT applications
机译:
IOT应用程序中智能设备的智能IC技术
作者:
Min-hwa Chi
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Sensors;
Integrated circuits;
Nonvolatile memory;
Magnetic tunneling;
Internet of Things;
Phase change random access memory;
Three-dimensional displays;
8.
An accelerator-aware microarchitecture simulator for design space exploration
机译:
用于设计空间探索的加速器感知微体系结构模拟器
作者:
Di Gao
;
Cheng Zhuo
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
embedded systems;
integrated circuit design;
microprocessor chips;
multiprocessing systems;
9.
Capillary wedge bonding technology for stacked die packages
机译:
用于堆叠模具包装的毛细管楔形焊接技术
作者:
Hao Liu
;
Maopeng Zhou
;
Xiansheng Duan
;
Jiantao Lin
;
Fangfang Guo
;
Naoki Sekine
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Bonding;
Wires;
Reliability;
Passivation;
Gold;
10.
Substrate current improvement for a 25V N-TYPE LDMOS
机译:
用于25V n型LDMOS的基板电流改善
作者:
Ziquan Fang
;
Donghua Liu
;
Wensheng Qian
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Degradation;
Implants;
Optimization;
Hot carriers;
Impact ionization;
Transistors;
11.
Nanostructures for smart systems
机译:
智能系统的纳米结构
作者:
Joerg Martin
;
Ray Saupe
;
Joern Langenickel
;
Martin Moebius
;
Kathleen Heinrich
;
Alexander Wei?
;
Thomas Otto
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Quantum dots;
Photovoltaic cells;
Light emitting diodes;
Substrates;
Nanoparticles;
Photoluminescence;
12.
MTTF-aware design methodology for adaptive voltage scaling
机译:
用于自适应电压缩放的MTTF感知设计方法
作者:
Masanori Hashimoto
;
Yutaka Masuda
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Optical wavelength conversion;
Optimization;
Clocks;
Solid state circuits;
Very large scale integration;
Electron devices;
Conferences;
13.
Do we have to worry about extended defects in high-mobility materials?
机译:
我们是否必须担心高流动性材料的延长缺陷?
作者:
Eddy Simoen
;
Po-Chun Hsu
;
Liang He
;
Yves Mols
;
Bernadette Kunert
;
Robert Langer
;
Niamh Waldron
;
Geert Eneman
;
Nadine Collaert
;
Mark Heyns
;
Cor Claeys
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
deep level transient spectroscopy;
elemental semiconductors;
extended defects;
III-V semiconductors;
MOSFET;
silicon;
14.
Advanced material for process performance in plasma process
机译:
等离子体过程中工艺性能的先进材料
作者:
Xingjian Chen
;
Tuqiang Ni
;
Shenjian Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
integrated circuit manufacture;
plasma arc sprayed coatings;
plasma chemistry;
plasma materials processing;
porosity;
quality control;
semiconductor technology;
silicon compounds;
sputter etching;
yttrium compounds;
15.
Patterning challenges in 193i-based tip to tip in N5 interconnects
机译:
基于193i的尖端在N5互连中的尖端图案化挑战
作者:
Basoene Briggs
;
Janko Versluijs
;
Juergen B?mmels
;
Christopher J. Wilson
;
Zsolt T?kei
;
Arindam Mallik
;
Job Soethoudt
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Lithography;
Metals;
Planarization;
Resists;
Ultraviolet sources;
Dielectrics;
Benchmark testing;
16.
Legacy profiler capacity/utilization improvement with automation for high volume BAW production
机译:
遗产探究者能力/利用高卷BAW生产自动化改进
作者:
Yanghua He
;
Dario Nappa
;
Hector Nevarez
;
Michael Lube
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Analytical models;
Production;
Semiconductor device measurement;
Data models;
Automation;
Tools;
Data analysis;
17.
Novel approaches to circuit timing
机译:
电路时机的新方法
作者:
Ulf Schlichtmann
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Design automation;
Conferences;
Handheld computers;
Integrated circuits;
Integrated circuit reliability;
Reliability engineering;
18.
ULK optimization for Cu/ULK(K=2.5) BEOL interconnect TDDB and EM improvement at 28/14nm technology node and beyond
机译:
ULK优化CU / ULK(k = 2.5)BEOL互连TDDB和EM改进在28/14nm技术节点及更远时
作者:
Xiaodong Zou
;
Tao Dou
;
Zheyuan Tong
;
Fenglian Li
;
Duohui Bei
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Plasmas;
Radio frequency;
Reliability;
Metals;
Delays;
Stress;
Optimization;
19.
New CMP processes development and challenges for 7nm and beyond
机译:
新的CMP处理7nm及以后的开发和挑战
作者:
Haigou Huang
;
Dinesh Koli
;
John H Zhang
;
Stan Tsai
;
Taifong Chao
;
Yuanfang Lu
;
Hong Jin Kim
;
Qiang Fang
;
Wenyin Lu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Slurries;
Silicon compounds;
Head;
Logic gates;
Surfaces;
Metals;
Process control;
20.
Investigation and three implementations for low power self-aligned 1.5-T SONOS flash device
机译:
低功率自对准1.5-T SONOS闪存装置的调查和三种实现
作者:
Zhaozhao Xu
;
Donghua Liu
;
Wei Xiong
;
Jun Hu
;
Ziquan Fang
;
Wenting Duan
;
Hualun Chen
;
Wensheng Qian
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Logic gates;
SONOS devices;
Split gate flash memory cells;
Transistors;
Doping;
Programming;
Reliability;
21.
In-situ plasma monitoring of PECVD a-Si:H(i)/a-Si:H (n) surface passivation for heterojunction solar cells application
机译:
原位等离子体监测PECVD A-Si:H(i)/ a-Si:h(n)异质结太阳能电池的表面钝化应用
作者:
Yu-Lin Hsieh
;
Li-Han Kau
;
Hung-Jui Huang
;
Chien-Chieh Lee
;
Yiin-Kuen Fuh
;
Tomi T. Li
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Passivation;
Charge carrier lifetime;
Photovoltaic cells;
Stimulated emission;
Amorphous silicon;
Conductivity;
22.
Effective EMI shielding for semiconductor packages through novel conformal coating
机译:
通过新型保形涂层的半导体包装的有效EMI屏蔽
作者:
Xinpei Cao
;
Andrew Sun
;
Dan Maslyk
;
Junbo Gao
;
Qizhuo Zhuo
;
Jinu Choi
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Coatings;
Electromagnetic interference;
Adhesives;
Surface treatment;
Ink;
Pollution measurement;
Standards;
23.
High power LPP-EUV source with long collector mirror lifetime for high volume semiconductor manufacturing
机译:
高功率LPP-EUV源具有长集电极镜寿命的高批量半导体制造
作者:
Hakaru Mizoguchi
;
Hiroaki Nakarai
;
Tamotsu Abe
;
Krzysztof M Nowak
;
Yasufumi Kawasuji
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Tsukasa Hori
;
Takeshi Kodama
;
Yutaka Shiraishi
;
Tatsuya Yanagida
;
Georg Soumagne
;
Tsuyoshi Yamada
;
Taku Yamazaki
;
Takashi Saitou
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Ultraviolet sources;
Mirrors;
Plasmas;
Laser beams;
Magnetic fields;
Ions;
24.
Applications of advanced techniques of transmission electron microscope in characterization of semiconductor devices
机译:
透射电子显微镜在半导体器件表征中的应用
作者:
Jinghong Li
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Strain;
Principal component analysis;
Silicon;
Silicon germanium;
Spatial resolution;
Strain measurement;
Noise measurement;
25.
Synthesis of MoS
2
via in situ sulfurize sputtering Mo
机译:
MOS
2 INF>原位硫化溅射MO的合成
作者:
Wei Junqing
;
Li Yue
;
Wang Fang
;
Zhang Zhichao
;
Feng Yulin
;
Li Yi
;
Zhang Kailiang
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Sulfur;
Molybdenum;
Sputtering;
Crystals;
Substrates;
Powders;
Atmosphere;
26.
Emitter-base short issue study and improvement in a low cost and high performance 0.18um SiGe BiCMOS process
机译:
发射器基础短发行研究和改进低成本和高性能0.18um SiGe BICMOS工艺
作者:
Donghua Liu
;
Zhaozhao Xu
;
Wensheng Qian
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Etching;
Silicon germanium;
Heterojunction bipolar transistors;
Optimization;
Cobalt;
Silicides;
BiCMOS integrated circuits;
27.
A method of contact level PVC enhancement
机译:
一种接触电平PVC增强方法
作者:
Xiaojun Xu
;
Jinjin Xie
;
Yutian Zhang
;
Zhimin Zeng
;
Yun Xu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Computed tomography;
Circuit faults;
Logic gates;
Junctions;
Failure analysis;
Read only memory;
MOS devices;
28.
Investigation on the leakage of triple split-gate flash device and its improve solution
机译:
三重分型闸闪装置泄漏调查及其改进溶液
作者:
Zigui Cao
;
Lingyue Zhang
;
Yan Sun
;
Buchun Su
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
annealing;
elemental semiconductors;
flash memories;
oxidation;
phosphorus;
silicon;
29.
Characterization of lanthanide elements doped ceria nanoparticles and its performance in chemical mechanical polishing as novel abrasive particles
机译:
掺杂二氧化铈纳米粒子的镧系元素的表征及其在化学机械抛光中的性能作为新型磨料颗粒
作者:
Jie Cheng
;
Yang Li
;
Xinchun Lu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Nanoparticles;
Surface topography;
Abrasives;
Chemicals;
Surface morphology;
Slurries;
Doping;
30.
The study on the diffraction spectrum of adding S-BAR during the source mask optimization (SMO) process
机译:
源掩模优化期间添加S-BAR衍射光谱研究(SMO)过程研究
作者:
Miao Xia
;
Song Bai
;
Qin Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
diffraction;
masks;
optimisation;
31.
Advanced photoresist and material development in China
机译:
中国先进的光致致抗蚀剂和材料开发
作者:
Ran Ruicheng
;
Mao Guoping
;
Sun Yousong
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Resists;
Integrated circuits;
Polymers;
Chemicals;
Production;
Lithography;
32.
The study of TiN residues formation mechanism and removal solution on bondpad surface in PI/PA mask combined process
机译:
PI / PA掩模组合过程中粘结剂表面锡残留物机理及去除溶液的研究
作者:
Xu Jie
;
Huang Chong
;
Li Zhiguo
;
Ding Tongguo
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Tin;
Etching;
Sputtering;
Surface cracks;
Passivation;
33.
Improvement of bond pad crystal defect by new aluminum pad film stack
机译:
新型铝垫薄膜叠层粘接垫晶体缺陷的改进
作者:
Junhong Zhao
;
Zhengying Wei
;
Yansheng Wang
;
Wei Zhou
;
Chang Sun
;
Jun Qian
;
Yufei Peng
;
Ran Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Corrosion;
Surface treatment;
Aluminum;
Integrated circuits;
Bonding;
Scanning electron microscopy;
34.
Advanced mass flow controllers (MFC) with EtherCAT communication protocol and embedded self diagnostics
机译:
高级质量流量控制器(MFC),具有EtherCAT通信协议和嵌入式自诊断
作者:
Kevin Findleton
;
Berwin Banares
;
Mohamed Saleem
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Valves;
Tools;
Performance evaluation;
Process control;
Protocols;
Degradation;
Monitoring;
35.
Study of downstream CF
4
contained plasma process impact on chamber condition
机译:
对下游CF
4 INF>对腔室条件的影响含有血浆工艺影响
作者:
Yali Fu
;
Shawming Ma
;
Yi Wang
;
Ken Wang
;
Mingjie Jiao
;
Nancy Zhang
;
Vijay Vaniapura
;
Hai-Au Phan-Vu
;
Bob Elliston
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Plasmas;
Strips;
Surface treatment;
Resists;
Polymers;
Chemistry;
Silicon;
36.
Electrical and thermal characterization of SiC power MOSFET
机译:
SiC功率MOSFET的电气和热表征
作者:
Takashi Sato
;
Kazuki Oishi
;
Masayuki Hiromoto
;
Michihiro Shintani
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Integrated circuit modeling;
MOSFET;
Capacitance;
Temperature measurement;
Switches;
Silicon carbide;
Current measurement;
37.
Introduction of 95nm SPOCULL technology
机译:
引进95nm spocull技术
作者:
Honglin Zeng
;
Liang Wu
;
Tianhua Dong
;
Lan Jin
;
Yulei Jiang
;
Yi Peng Chan
;
Leong Tee Koh
;
Jui Lin Lu
;
Min-Hwa Chi
;
Tzu-Yin Chiu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Logic gates;
Performance evaluation;
Integrated circuit interconnections;
Standards;
SRAM cells;
Computer architecture;
38.
Improvement of package warpage through substrate and EMC optimization
机译:
通过基板和EMC优化改进包翘曲
作者:
Ken Lee
;
Min Sung Kim
;
Jaesung Kim
;
Sangkyun Kim
;
Donghwan Lee
;
Kyunghag Jung
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Substrates;
Electromagnetic compatibility;
Strain;
Temperature measurement;
Semiconductor device measurement;
Strain measurement;
Reliability;
39.
Advanced process equipment matching methodology in semiconductor manufacturing
机译:
半导体制造中的先进过程设备匹配方法
作者:
Ziqian Javaer Liu
;
Hongtao H T Qian
;
Mengyang Elaine Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Tools;
Monitoring;
Process control;
Automotive engineering;
Data collection;
Production;
40.
Robust overlay metrology by Mueller matrix ellipsometry with a differential calculus
机译:
穆勒矩阵椭圆形测量仪具有差分微分的强大覆盖计量
作者:
Xiuguo Chen
;
Shiyuan Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Measurement uncertainty;
Weight measurement;
Gratings;
Metrology;
Calculus;
Adaptive optics;
Optical sensors;
41.
Comparative analysis of resist model stability in negative tone development process
机译:
负面调工过程中抗蚀模型稳定性的比较分析
作者:
Hua Cai
;
Hanmo Gong
;
Qian Ren
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Resists;
Semiconductor device modeling;
Load modeling;
Predictive models;
Optical imaging;
Data models;
Adaptive optics;
42.
Study of unique pseudo buried layer in 0.18um SiGe BiCMOS process
机译:
018um SiGe BICMOS工艺中独特伪埋藏层的研究
作者:
Donghua Liu
;
Xi Chen
;
David Wei Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Resists;
Lithography;
Silicon germanium;
Silicon;
Heterojunction bipolar transistors;
BiCMOS integrated circuits;
Epitaxial layers;
43.
A novel gas sensor signal drift adjustment method based on controlled measurement
机译:
一种基于受控测量的新型气体传感器信号漂移调节方法
作者:
Chang-Yong Chiu
;
Zhun Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Environmental factors;
Gas detectors;
Temperature measurement;
Pollution measurement;
Standards;
Sensitivity;
Atmospheric measurements;
44.
Reliability engineering: Help enable technology scaling
机译:
可靠性工程:帮助实现技术缩放
作者:
S. Pae
;
H. C. Sagong
;
M. Jin
;
T. Jeong
;
J. Kim
;
I. Baick
;
H. Shim
;
J. Park
;
H. Kim
;
Y. C. Choi
;
S. Shin
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Stress;
Human computer interaction;
Integrated circuit modeling;
Integrated circuit reliability;
Logic gates;
Aging;
45.
Lithography process optimization for emitter window in sige-HBT device
机译:
SiGE-HBT装置中发射器窗口的光刻过程优化
作者:
Donghua Liu
;
Ziquan Fang
;
Zhaozhao Xu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Films;
Silicon germanium;
Lithography;
Resists;
Reflectivity;
Indexes;
Tools;
46.
Fan-out wafer-level packaging for 3D IC heterogeneous integration
机译:
用于3D IC异构集成的扇出晶圆级包装
作者:
John H Lau
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Electromagnetic compatibility;
Integrated circuits;
Three-dimensional displays;
Polymers;
Metals;
Wires;
Graphics processing units;
47.
Nonvolatile memory outlook: Technology driven or application driven
机译:
非易失性存储器展望:技术驱动或应用驱动
作者:
Jingli
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
DRAM chips;
magnetic tunnelling;
magnetoelectronics;
phase change memories;
resistive RAM;
48.
Efficient multi-bit SRAMS using nanostructures field-effect transistors (NANO-FETS)
机译:
使用纳米结构场效应晶体管(纳米FET)有效的多位SRAM
作者:
Bander Saman
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Random access memory;
Field effect transistors;
Logic gates;
Silicon;
Inverters;
Integrated circuit modeling;
49.
CMP pad surface uniformity optimization after polish
机译:
CMP垫表面均匀性优化抛光后
作者:
Ying Emily Lu
;
Wei William Guo
;
Jenny Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Optimization;
Standards;
Tools;
Planarization;
Chemicals;
50.
First-principles study on Ge
1?x
Sn
x
-Si core-shell nanowire transistors
机译:
GE
1的第一原理研究X / ING> SN
X INF> -SI核心 - 壳纳米线晶体管
作者:
Zeguo Gu
;
Feng Xu
;
Bin Gao
;
Huaqiang Wu
;
He Qian
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Metals;
Effective mass;
Strain;
Lattices;
Silicon;
Photonic band gap;
Atomic measurements;
51.
Emerging fine line panel level fan out technology
机译:
新兴细线面板级扇出技术
作者:
David Fang
;
Michael Hsu
;
C C Chang
;
K W Chung
;
Alex Liu
;
Irving Lin
;
Daniel Fann
会议名称:
《China Semiconductor Technology International Conference》
|
2018年
关键词:
Fans;
Packaging;
Industries;
Performance evaluation;
Substrates;
Tools;
Production;
52.
SADP core etching performance comparison for different CCP etchers
机译:
SADP核心蚀刻不同CCP蚀刻器的性能比较
作者:
Yibin Song
;
Haiyang Zhang
;
Yiying Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Lithography;
Polymers;
Etching;
53.
Novel EUV resist development for sub-14 nm half pitch
机译:
新型EUV抵抗SUB-14 NM半间距的开发
作者:
Koichi Fujiwara
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Lithography;
Resists;
Biographies;
Medical services;
Automobiles;
Optimization;
Resistance;
54.
Development of plating resist for FO-WLP
机译:
FO-WLP电镀抗蚀剂的开发
作者:
Kenji Okamoto
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Plating;
Resistance;
55.
Rotary Spatial Plasma Enhanced Atomic Layer Deposition — An enabling manufacturing technology for μm-thick ALD films
机译:
旋转空间等离子体增强的原子层沉积 - 用于μm厚的ALD薄膜的能力制造技术
作者:
Sami Sneck
;
Mikko S?derlund
;
Markus Bosund
;
Pekka Soininen
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Atomic layer deposition;
Manufacturing;
Titanium;
56.
Research and solution of STI CMP dishing and uniformity improve for 28LP
机译:
STI CMP剥离和均匀性提高28LP的研究和解决方案
作者:
Lei Zhang
;
Junhua Yan
;
Kun Chen
;
Wenbin Fan
;
Yefang Zhu
;
Jingxun Fang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Process control;
57.
Application of OPE Master for critical layer OPE matching
机译:
OPE Master对关键层OPE匹配的应用
作者:
Yuan Tao
;
Yifei Liu
;
Yuanzhao Ma
;
Zhenyu Yang
;
Chun Shao
;
Xuedong Fan
;
Junji Ikeda
;
Koichi Fujii
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Optimization;
Lithography;
Imaging;
Silicon;
Electronic mail;
Biographies;
Lenses;
58.
Ka-band low noise amplifier using 70nm mHEMT process for wideband communication
机译:
KA波段低噪声放大器使用70nm MHEMT宽带通信过程
作者:
Xu Cheng
;
Liang Zhang
;
Xianjin Deng
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Impedance;
Wideband;
Transistors;
Performance evaluation;
Impedance measurement;
Power measurement;
Extraterrestrial measurements;
59.
Outsourced CMP for rapid development and efficient manufacturing
机译:
外包CMP用于快速发展和高效的制造业
作者:
Robert L. Rhoades
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Slurries;
Production;
Outsourcing;
60.
The study of deep trench etch process for PCRAM
机译:
PCRAM深沟蚀刻工艺的研究
作者:
Yiying Zhang
;
Zhuofan Chen
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Phase change random access memory;
61.
Fin bending mechanism investigation for 14nm FinFET technology
机译:
14NM FinFET技术的鳍弯曲机制调查
作者:
Cheng Li
;
Hai Zhao
;
Gang Mao
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Annealing;
Mechanical factors;
Silicon;
62.
The methodogy to reduce poly bump defect
机译:
减少多碰撞缺陷的方法
作者:
Junlong Kang
;
Xiaogong Fang
;
Xinhua Cheng
;
Huaming Luo
;
Jingxun Fang
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
MOSFET;
Nonvolatile memory;
Flash memories;
Films;
63.
Data Analytics and Machine Learning for Design-Process-Yield Optimization in Electronic Design Automation and IC semiconductor manufacturing
机译:
电子设计自动化和IC半导体制造中设计过程 - 产量优化的数据分析与机器学习
作者:
Luigi Capodieci
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Data analysis;
Manufacturing;
IP networks;
Layout;
Algorithm design and analysis;
64.
The application of the Smoluchowski effect to explain the current-voltage characteristics of high-k MIM capacitors
机译:
SMOLUCHOWSKI效应的应用解释高k MIM电容器的电流 - 电压特性
作者:
W.S. Lau
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Capacitors;
Tin;
Physics;
Leakage currents;
CMOS integrated circuits;
CMOS technology;
65.
Neutral beam technology for future nano-device
机译:
未来纳米装置的中性光束技术
作者:
Seiji Samukawa
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Plasmas;
Nanoscale devices;
Etching;
Process control;
Nanobioscience;
Chemicals;
66.
Novel leveling materials for copper deposition in advanced packaging
机译:
高级包装铜沉积的新型水平材料
作者:
Tao Ma
;
Jiang Wang
;
Zifang Zhu
;
Peipei Dong
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Copper;
Additives;
Three-dimensional displays;
Stress;
X-ray scattering;
Quality assurance;
Microelectronics;
67.
Study of safe operating area and improvement for power management integrated circuit
机译:
安全运行区的研究与电源管理集成电路的改进
作者:
Sarah Zhou
;
Yongliang Song
;
Kary Chien
;
Canny Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
CMOS integrated circuits;
MOSFET;
Hot carriers;
Semiconductor device modeling;
Analytical models;
Stress;
Logic gates;
68.
High-bandwidth IC interconnects with silicon interposers and bridges for 3D multi-chip integration and packaging
机译:
高带宽IC与3D多芯片集成和包装的硅插入器和桥梁互连
作者:
Boping Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Packaging;
Random access memory;
CMOS integrated circuits;
Distortion;
Distortion measurement;
Semiconductor device measurement;
Silicon;
69.
CMP slurry metrology to meet the industry demand
机译:
CMP Slurry Metrology满足行业需求
作者:
Rashid Mavliev
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Monitoring;
Chemicals;
Slurries;
Distortion;
Distortion measurement;
70.
A method to solve Reverse Tunneling Disturb issue for SuperFlash? memory
机译:
解决Superflash求解反向隧道扰动问题的方法?记忆
作者:
Tao Xu
;
Zigui Cao
;
Guoqing Han
;
Hong Chen
;
Hui Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Manufacturing;
Logic gates;
Split gate flash memory cells;
71.
SiN/SiCxN stack film as Cu capping layer in Cu/ULK interconnect for 28LP
机译:
SIN / SICXN堆叠薄膜作为CU / ULK互连的CU覆盖层,用于28LP
作者:
Yi Hailan
;
Lei Tong
;
Ye Kang
;
Chen Yongyue
;
Hu Wei
;
Yang Zhigang
;
Zhou Haifeng
;
Fang Jingxu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Reliability;
Silicon compounds;
Films;
Capacitance;
Plasmas;
Chemicals;
72.
The solutions for 3D-NAND processes with Canon's latest KrF scanner
机译:
使用佳能最新的KRF扫描仪的3D-NAND流程解决方案
作者:
Masanori Yamada
;
Hajime Takeuchi
;
Kazuhiko Mishima
;
Keiji Yoshimura
;
Kazuhiro Takahashi
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Thickness measurement;
Throughput;
73.
Synthesis and directed self-assembly of modified PS-b-PMMA for sub-10 nm nanolithography
机译:
改性PS-B-PMMA的合成和定向自组装用于亚10 nm纳米尺度
作者:
Xuemiao Li
;
Jie Li
;
Hai Deng
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Nanolithography;
Polymers;
Annealing;
74.
III-N heterostructure devices for low-power logic
机译:
用于低功耗逻辑的III-N异质结构
作者:
P. Fay
;
W. Li
;
D. Digiovanni
;
L. Cao
;
H. Ilatikhameneh
;
F. Chen
;
T. Ameen
;
R. Rahman
;
G. Klimeck
;
C. Lund
;
S. Keller
;
S. M. Islam
;
A. Chaney
;
Y. Cho
;
D. Jena
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Logic gates;
Performance evaluation;
75.
Blob defect solution for 28 nm hole pattern in 193 nm topcoat-free immersion lithography
机译:
Blob缺陷解决方案193 nm Footoat-Free浸入光刻中的28 nm孔图案
作者:
Dan Li
;
Biqiu Liu
;
Yulong Li
;
Zhengkai Yang
;
Zhibiao Mao
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Resists;
76.
Design and synthesis of novel directed self-assembly block copolymers for sub-10 nm lithography application
机译:
新型指向自组装嵌段共聚物的设计与合成Sub-10 nm光刻应用
作者:
Jie Li
;
Xuemiao Li
;
Hai Deng
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Annealing;
Self-assembly;
Lithography;
Morphology;
Solvents;
Plastics;
Polymers;
77.
High efficiency test system for envelope tracking Power amplifier
机译:
信封跟踪功率放大器的高效测试系统
作者:
Feifan Du
;
Hui Yu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Radio frequency;
Modulation;
Power supplies;
Instruments;
Production;
Power amplifiers;
Delays;
78.
Morphology control of copper nanomaterials for IC bonding
机译:
IC键合铜纳米材料的形态控制
作者:
Jiayue Wen
;
Yanhong Tian
;
Zhi Jiang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Copper;
Nanoparticles;
Nanomaterials;
Bonding;
Morphology;
Nanowires;
Integrated circuits;
79.
Study of poly etch for performance improvement with alternative spin-on materials in FinFET technology node
机译:
用FINFET技术节点对替代旋转材料进行性能改进的多蚀刻研究
作者:
Yan Wang
;
Qiuhua Han
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
80.
MOSFET RF performance improvement through spacer profile optimization for 28nm Poly/SiON SoC technology
机译:
MOSFET RF通过28nm Poly / Sion SoC技术的间隔型材优化改进
作者:
Hai Liu
;
River He
;
Byunghak Lee
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Performance evaluation;
Radio frequency;
MOSFET;
MOSFET circuits;
Logic gates;
81.
Fail mechanism of program disturbance for erase cells VT positive shift in NAND flash technology
机译:
NAND闪存技术中的擦除细胞VT正换档的程序干扰失败机制
作者:
Chunmei Zou
;
Yong Zhao
;
Wei-Ting Kary Chien
;
Junyao Tang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Flash memories;
Reliability;
Physics;
82.
Study of weakly alkaline slurry for copper barrier CMP on manufacture platform
机译:
制造平台铜屏障CMP弱碱性浆料研究
作者:
Jin Kang
;
Hanming Wu
;
Xing Zhang
;
Qiang Li
;
Jun Ge
;
Tong Feng
;
Ziqing Yin
;
Yuling Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Slurries;
83.
Laser spike annealing and SiGe dummy pattern layout study to improve contact misalignment overlay issue
机译:
激光尖峰退火和SiGe虚拟图案布局研究,提高联系未对准叠加问题
作者:
Guiying Ma
;
Tzuchiang Yu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Silicon germanium;
Performance evaluation;
Annealing;
84.
Practical wafer Level Threshold Voltage Stability measurement methodology for the fast evaluation of Flash technology
机译:
实用晶圆级阈值电压稳定性测量方法,用于快速评估闪光技术
作者:
Gang Niu
;
Wei-Ting Kary Chien
;
Jack Chen
;
Dennis Zhang
;
Susie Yu
;
Daniel Zhao
;
Silvia Duan
;
Ming Li
;
Alicia Ding
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Semiconductor device reliability;
Annealing;
Logic gates;
Lead;
85.
Using VerilogA for modeling of Single Event current pulse: Implementation and application
机译:
使用VERILOGA进行建模单事件电流脉冲:实现和应用
作者:
Jia Liu
;
Yusen Qin
;
Tiehu Li
;
Yuxin Wang
;
Weidong Yang
;
Jun Liu
;
Ruzhang Li
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Integrated circuit modeling;
MOSFET circuits;
MOSFET;
Integrated circuit reliability;
Hardware design languages;
86.
Highly effective low-k dielectric test structures and reliability assessment for 28NM technology node and beyond
机译:
高效的低k介电测试结构和28nm技术节点及超越的可靠性评估
作者:
Zhijuan Wang
;
Yueqin Zhu
;
Kai Wang
;
Yuzhu Gao
;
Wei-Ting Kary Chien
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Reliability;
87.
Design and implementation of a digital HBC coordinator for Body Area Network
机译:
体积电区网络数字HBC协调器的设计与实现
作者:
Ying Zhang
;
Hao Chen
;
Zhongmin Lin
;
Xin-an Wang
;
Xing Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Reliability engineering;
Protocols;
Electrocardiography;
Body area networks;
Wireless communication;
Biomedical monitoring;
88.
Latest material technologies for Fan-Out Wafer Level Package
机译:
用于扇出晶圆级包装的最新材料技术
作者:
Itaru Watanabe
;
Masaya Kouda
;
Koji Makihara
;
Hiroki Shinozaki
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Solvents;
Voltage measurement;
Resistance;
Mobile communication;
Consumer electronics;
Wires;
Bonding;
89.
High productivity PVD solution for an ever-evolving advanced packaging industry
机译:
高生产率PVD解决方案,用于不断发展的先进包装行业
作者:
Frantisek Balon
;
Patrick Carazzetti
;
Juergen Weichart
;
Mohamed Elghazzali
;
Mike Hoffmann
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Pollution measurement;
Polymers;
Irrigation;
Atmospheric modeling;
Sun;
Reliability;
90.
A concise and precise model of the gate delay for EDA simulation
机译:
EDA仿真的栅极延迟的简洁精确模型
作者:
Zhipeng Yue
;
Zhuoquan Huang
;
Dihu Chen
;
Tao Su
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Logic gates;
Interference;
Fitting;
91.
The line edge roughness improvement with plasma coating for 193nm lithography
机译:
193nm光刻的等离子体涂层的线边缘粗糙度改善
作者:
Erhu Zheng
;
Haiyang Zhang
;
Yiying Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Data models;
Analytical models;
92.
Ultrapure chemical components for next generation materials
机译:
下一代材料的超纯化学成分
作者:
Hyun Yong Cho
;
Ram Sharma
;
Jeffrey D. Fogle
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Chemicals;
Purification;
Ions;
Artificial intelligence;
Iron;
Manganese;
Nickel;
93.
Sputter deposition technology for Al(1?x)ScxN films with high Sc concentration
机译:
具有高SC浓度的Al(1?X)SCXN膜的溅射沉积技术
作者:
Bernd Heinz
;
Stefan Mertin
;
Oliver Rattunde
;
Marc Alexandre Dubois
;
Sylvain Nicolay
;
Gabriel Christmann
;
Maurus Tschirky
;
Paul Muralt
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Electrodes;
Acoustics;
94.
Fin critical dimension loading control by different fin formation approaches for FinFETs process
机译:
FIN临界尺寸加载控制对FinFET过程的不同鳍片形成方法
作者:
Qingpeng Wang
;
Gang Mao
;
Hai Zhao
;
Cheng Li
;
Fangyuan Xiao
;
Rex Yang
;
Shaofeng Yu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Three-dimensional displays;
95.
Geometry effect with respect to ESD and radiative charged particles in SoC
机译:
关于SOC的ESD和辐射带电粒子的几何效应
作者:
C.-Z. Chena
;
David Y. Hu
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Atmospheric measurements;
Particle measurements;
Electrostatic discharges;
Ionizing radiation;
Logic gates;
Stress;
Stress measurement;
96.
The study and investigation of inline E-beam inspection for 28nm process development
机译:
28NM过程开发内联电子束检验的研究与调查
作者:
Yin Long
;
Rongwei Fan
;
Hunglin Chen
;
Haihua Li
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Computed tomography;
Optimization;
Inspection;
97.
The study of poly gate etching profile, micro loading and wiggling for NAND flash memory
机译:
NAND闪存的多栅极蚀刻轮廓,微负载和摆动的研究
作者:
Zhuo-Fan Chen
;
Hai-yang Zhang
;
Yi-Ying Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Robustness;
98.
A new acidic ILD slurry formulation for advanced CMP
机译:
用于高级CMP的新型酸性ILD浆料配方
作者:
Yi Guo
;
Arun Reddy
;
David Mosley
;
Robert Auger
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Slurries;
Additives;
Nuclear magnetic resonance;
Silicon compounds;
Surface treatment;
99.
SiN removal process for poly damage control in memory flash
机译:
内存闪光灯中多损伤控制的稀疏拆卸过程
作者:
Jia Ren
;
Haiyang Zhang
;
Yiying Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Films;
Filling;
Logic gates;
Ions;
Silicon;
100.
Using static voltage propagation approach to assist full chip LUP and TDDB physical verification
机译:
采用静态电压传播方法来辅助全芯片锁定和TDDB物理验证
作者:
Yi-Ting Lee
;
Frank Feng
会议名称:
《China Semiconductor Technology International Conference》
|
2017年
关键词:
Integrated circuit interconnections;
Engines;
Layout;
Electric potential;
Dielectrics;
Guidelines;
Logic gates;
上一页
3
4
5
6
7
8
9
10
11
12
13
下一页
意见反馈
回到顶部
回到首页