掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
团队文献服务
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
China Semiconductor Technology International Conference
China Semiconductor Technology International Conference
召开年:
2012
召开地:
Shanghai(CN)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
1944
条结果
1.
Surface modification of hydrogenated amorphous carbon (a-C: H) films prepared by plasma enhanced chemical vapor deposition (PECVD)
机译:
等离子体增强化学气相沉积(PECVD)制备的氢化无定形碳(A-C:H)薄膜的表面改性(PECVD)
作者:
Lihong Xiao
;
Zhou Eric
;
Huanxi Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
Amorphous carbon;
O3 etch;
Oxidation;
PECVD;
2.
Study of CDSEM measurement issue caused by wafer charging
机译:
晶圆充电引起的CDSEM测量问题研究
作者:
Qiang Zhang
;
Guogui Deng
;
Bin Xing
;
Jingan Hao
;
Qiang Wu
;
Yishi Lin
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
3.
Design based inspection methodology and application in the fab
机译:
基于设计的检验方法与工厂的应用
作者:
Jinghua Ke
;
Shopen Ofer
;
Fei Li
;
Wensheng Li
;
Chang Mike
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
4.
A study of pattern transfer fidelity during metal hard-mask open
机译:
金属硬膜下打开模式转移保真度的研究
作者:
Dalin Yao
;
Ruixuan Huang
;
Qiyang He
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
5.
Modeling of detailed internal electric field in a Trench Insulated Gate Bipolar Transistor using variational thermodynamic methodology
机译:
不同变分热力学方法的沟槽绝缘栅双极晶体管详细内部电场的建模
作者:
Santiago John Rose
;
Patel Krunal V.
;
Gunther Norman G.
;
Rahman Mahmudur
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
6.
Study of the ADR rinse effect on special residual type defect
机译:
对特殊残留型缺陷的ADR漂洗效应研究
作者:
Bin Xing
;
Jingan Hao
;
Guogui Deng
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
7.
Influence of sputtering gas on resistivity of thin Ni silicide films
机译:
溅射气对薄Ni硅化物膜电阻率的影响
作者:
Imamura H.
;
Kakushima K.
;
Kataoka Y.
;
Nishiyama A.
;
Sugii N.
;
Wakabayashi H.
;
Tsutsui K.
;
Natori K.
;
Iwai H.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
8.
Evaluation of Mask Fidelity using automated edge placement error measurement with CD-SEM images
机译:
使用CD-SEM图像使用自动边缘放置误差测量对掩模保真度的评估
作者:
Zubiao Fu
;
Shijian Zhang
;
Yi Huang
;
Yi-Shih Lin
;
Lanyan Shi
;
Cong Zhang
;
Yaoming Shi
;
Yiping Xu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
CD-SEM;
Fidelity;
edge placement error;
sub-pixel resolution;
9.
Pyramid size control and its effects on the performance of silicon heterojunction solar cells
机译:
金字塔尺寸控制及其对硅杂交太阳能电池性能的影响
作者:
Xiaorang Tian
;
Qi Wang
;
Hongtao Hou
;
Guangyu Chen
;
Guanchao Zhao
;
Rong Yang
;
Liwei Li
;
Yuan Meng
;
Guo Ted
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
10.
Hard mask profile and loading issue study in SADP process
机译:
SADP过程中的硬面具简介和加载问题研究
作者:
Ermin Chong
;
YiZheng Zhu
;
ChunYan Yi
;
Xianguo Dong
;
Liang Zhang
;
Quanbo Li
;
Jun Huang
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
11.
The problems and solutions in 40 nm node dual gate lithography process development
机译:
40 nm节点双栅极光刻工艺开发中的问题和解决方案
作者:
Li Dan
;
Gan Zhifeng
;
Wang Yanyun
;
Yang Zhengkai
;
Mao Zhibiao
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
12.
Application of measurement method on Cu-CMP process
机译:
测量法在Cu-CMP过程中的应用
作者:
Yi Ding
;
Yefang Zhu
;
Junhua Yan
;
Conggang Wang
;
Wenbin Fan
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
CMP;
Measurement method;
OCD and Bond Pad;
13.
The connections between dark rings and efficiency the analysis of low efficiency in monocrystalline silicon solar cell
机译:
暗环与效率与单晶硅太阳能电池低效率分析的关系
作者:
Peidong Liu
;
Linjun Chen
;
Caijun Luo
;
Hao Deng
;
Jing Li
;
Rui Zhou
;
Xinqiang Wang
;
Liangping Deng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
14.
OCD measurement of defocus and dose in EUV lithography
机译:
EUV光刻中散焦和剂量的OCD测量
作者:
Chen Huiping
;
Gao Fu
;
Huang Kun
;
Zhang Zhensheng
;
Shi Yaoming
;
Xu Yiping
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
15.
Investigation of surface plasmon enhanced organic light emitting diode by numerical analysis
机译:
用数值分析研究表面等离子体增强有机发光二极管
作者:
Wan-Jung Yang
;
Chih-Kai Hu
;
Li Tomi T.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
16.
High H2 ash process applications at advanced logic process
机译:
高级H2灰分过程应用在高级逻辑过程中
作者:
Xiao-Ying Meng
;
Qiu-Hua Han
;
Hai-Yang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
17.
Uniformity improvement of a-C: H films prepared by PECVD
机译:
通过PECVD制备的A-C:H薄膜的均匀性改善
作者:
Lihong Xiao
;
Yan Yan
;
Siyuan Yang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
Advanced Patterning;
Hydrogenated amorphous carbon;
PECVD;
Uniformity;
18.
A sub-10nm U-shape FinFET design with suppressed leakage current and DIBL effect
机译:
具有抑制漏电流和DIBL效应的10nm U形FinFET设计
作者:
Wei-Chao Zhou
;
Peng-Fei Wang
;
Zhang David Wei
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
19.
Study on influence of integrating sphere test position on measuring accuracy of optical parameters of LED chip
机译:
基球试验位置对LED芯片光学参数测量精度影响的研究
作者:
Chen Tengfei
;
Liu Qi
;
Li Bin
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
20.
An immersion scanner enabling 10 nm half pitch production and high productivity
机译:
浸入扫描仪,实现10纳米半间距产生和高生产率
作者:
Suzuki Tsuyoshi
;
Egashira Hiroyuki
;
Shirata Yosuke
;
Matsuyama Tomoyuki
;
Imai Motokatsu
;
Kanaya Reiji
;
Tsuzuki Takao
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
21.
Comprehensive investigation and design of Tunnel FET-based SRAM
机译:
基于隧道FET的SRAM综合调查与设计
作者:
Hao Zhu
;
Qianqian Huang
;
Lingyi Guo
;
Libo Yang
;
Ye Le
;
Ru Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
22.
An empirical study of quality improvement on SiP assembly issue
机译:
SIP组装问题质量改进的实证研究
作者:
Ye Samuel
;
Kai Chang
;
Dan Su
;
Yu Lei
;
Kungang Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
23.
Auto-metrology on TEM images of LED epitaxial layers
机译:
LED外延层的TEM图像自动计量
作者:
Biring Sajal
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
24.
The detection and investigation of SRAM data retention soft failures by voltage contrast inspection
机译:
电压对比检查SRAM数据保留软件的检测与调查
作者:
Rongwei Fan
;
Hunglin Chen
;
Yin Long
;
Qiliang Ni
;
Kai Wang
;
Zhibin He
;
Zhengkai Yang
;
Yanyun Wang
;
Liang Ni
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
25.
Species selection of pre-amorphous implantation in Nickle Silicide process
机译:
镍硅化镍工艺中的预纯度预植入的物种选择
作者:
Qiu Yuming
;
Yu Deqin
;
Cao Wenjie
;
Xiao Tianjin
;
He Zhibin
;
Liu Wei
;
Jing Xubin
;
Fang Jingxun
;
Albert Pang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
26.
Metal hard-mask based AIO etch challenges and solutions
机译:
基于金属硬掩模的AIO蚀刻挑战和解决方案
作者:
Junqing Zhou
;
Minda Hu
;
Qiyang He
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
27.
Numerical analysis on the liquid cooling of microchannel heatsink with phase change material
机译:
微通道散热与相变材料的数值分析
作者:
Han-Chieh Chiu
;
Ren-Horng Hsieh
;
Jhih-Teng Yao
;
Jer-Huan Jang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
28.
Effect of VO
x
interlayer in Cu /HfO
x
/TiN cell and its resistive switching mechanism
机译:
VO
X / INF>中间层在Cu / HFO
X / INM> / TIN电池及其电阻切换机构的影响
作者:
Zhang Hongzhi
;
Zhang Kailiang
;
Wang Fang
;
Han Yemei
;
Zhao Jinshi
;
Wang Baolin
;
Jian Xiaochuan
;
Sun Kuo
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
29.
The study of overlay mark in self aligned double patterning and solution
机译:
自对准双图案化和解决方案中的覆盖标记研究
作者:
Shuxin Yao
;
Xianguo Dong
;
Wei Yuan
;
Hongmei Hu
;
Yifei Lu
;
Shaohai Zeng
;
ChunYan Yi
;
Ming Li
;
Zhengkai Yang
;
Wuping Wang
;
Zhifeng Gan
;
Liang Zhang
;
Ermin Chong
;
Zhibiao Mao
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
30.
An analytical model of effects of 2-D pad surface textures on contact pressure distribution during CMP
机译:
2-D垫表面纹理对CMP接触压力分布效果的分析模型
作者:
Lixiao Wu
;
Changfeng Yan
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
31.
The optimazation method of device mismatch on 40 nm process technogy
机译:
40 nm工艺技术的设备不匹配优化方法
作者:
Peng Zhang
;
Wei Liu
;
Xubin Jin
;
Dongming Zhang
;
Haifeng Lu
;
Jianhua Zhou
;
Yuming Qiu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
32.
Improvement on switching uniformity of HFO
x
-based RRAM device fabricated by CMP
机译:
CMP制造的HFO
X INF>基于RRAM设备的开关均匀性的改进
作者:
Feng Yulin
;
Zhang Kailiang
;
Wang Fang
;
Yuan Yujie
;
Han Yemei
;
Cao Rongrong
;
Su Shuai
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
33.
Optimization of 28nm M1 trench etch profile and ILD loss uniformity
机译:
优化28nm M1沟槽蚀刻轮廓和损耗均匀性
作者:
Hong-Rui Ren
;
Chen-Guang Gai
;
Jun Huang
;
Yu Zhang
;
Pang Albert
;
Li-Yan Zhang
;
Lei Sun
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
34.
Mask model analysis and its application in 28 OPC modeling
机译:
掩模模型分析及其在28 OPC建模中的应用
作者:
Quan Chen
;
Yu Shirui
;
Zhibiao Mao
;
Yu Zhang
;
Bin Gao
;
Yanpeng Chen
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
35.
High-performance monocrystalline silicon could lead the photovoltaic power generation in the future
机译:
高性能单晶硅可以在未来引领光伏发电
作者:
Hao Deng
;
Nannan Fu
;
Peidong Liu
;
Gang Wu
;
Feng Wang
;
Caijun Luo
;
Liangping Deng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
36.
Via auto retarget application in 28nm technology node
机译:
在28nm技术节点中通过自动retarget应用程序
作者:
Bin-Jie Jiang
;
Yu Shi-Rui
;
Dan Wang
;
Yue-Yu Zhang
;
Yan-Peng Chen
;
Zhi-Biao Mao
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
37.
A novel sense-amplifier based flip-flop with bulk-driven technique
机译:
基于散装技术的新型感测放大器触发器
作者:
Xiaoying Deng
;
Yanyan Mo
;
Xihui Tang
;
Xin Lin
;
Liu Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
38.
Accelerating timing closure using incremental advanced OCV
机译:
使用增量高级OCV加速定时闭合
作者:
Chunyang Feng
;
Shyamsukha Ritesh
;
Radhakrishnan Shankar
;
Jianquan Zheng
;
Gao Alice
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
39.
Gan-on-diamond wafers: Recent developments
机译:
Gan-On-indond晶片:最近的发展
作者:
Ejeckam Felix
;
Francis Daniel
;
Faili Firooz
;
Lowe Frank
;
Twitchen Daniel
;
Bolliger Bruce
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
40.
Near infrared sensitive hybrid planar-bulk heterojunction organic field-effect transistors with copper hexadecafluorophthalocyanine as acceptor
机译:
近红外敏感混合平面 - 批量异质结有机场效应晶体晶体管,铜十六氟酞菁作为受体
作者:
Liyuan Peng
;
Junkang Zhong
;
Wenli L.V.
;
Yanchang Liu
;
Yingquan Peng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
41.
Real time endpoint detection in plasma etching using Real-Time Decision Making Algorithm
机译:
使用实时决策算法实时端点检测等离子体蚀刻
作者:
Ho-Taek Noh
;
Dong-Il Kim
;
Seung-Soo Han
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
42.
ESD gated diode SPICE compact model
机译:
ESD门控二极管Spice Compact模型
作者:
Zhenghao Gan
;
An Zhang
;
Waisum Wong
;
Lifei Zhang
;
Ye Haohua
;
Chien-Lung Tseng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
43.
Novel three dimensional (3D) CD-SEM profile measurements
机译:
小说三维(3D)CD-SEM个人资料测量
作者:
Yoshikawa Makoto
;
Bunday Benjamin
;
Longhai Liu
;
Ito Wataru
;
Shida Soichi
;
Matsumoto Jun
;
Nakamura Takayuki
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
44.
Stress control on plasma resistant ceramic coating
机译:
耐腐蚀性陶瓷涂层的应力控制
作者:
Li Zhang
;
Xingjian Chen
;
Guofeng Yao
;
Bing Xu
;
Su Carl
;
Xiaoming He
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
45.
Sub resolution assist feature study in 28nm node poly lithographic process
机译:
28nm节点聚光光刻中的子分辨率辅助特征研究
作者:
Xiaoming Mao
;
Zhengkai Yang
;
Xiaobo Guo
;
Zhifeng Gan
;
Biqiu Liu
;
Zhibiao Mao
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
46.
E150 advanced 150mm Reticle SMIF Pod
机译:
E150先进的150毫米掩盖SMIF POD
作者:
Huaping Wang
;
Schmidt Tim
;
Wiseman Brian
;
Tieben Tony
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
47.
A high sensitivity alcohol gas sensor based on TiO
2
thin films
机译:
基于TiO
2 INF>薄膜的高灵敏度醇气体传感器
作者:
Zhang Hanxia
;
Wei Wanli
;
Zhang Kailiang
;
Wang Fang
;
Yuan Yujie
;
Yang Zhengchun
;
Zhang Tiantian
;
Sun Shijiu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
48.
Electroplating (ECP) entry related defect improvement study
机译:
电镀(ECP)进入相关缺陷改进研究
作者:
Xuezhen Jing
;
Jingjing Tan
;
Zhijun Zhu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
ECP entry;
defect;
line end void;
49.
Schottky-barrier modulated HfO
2
-resistive switching memory with ultra-low power
机译:
Schottky屏障调制HFO
2 INF> - 具有超低功率的开关存储器
作者:
Jian Xiaochuan
;
Zhang Kailiang
;
Wang Fang
;
Han Yemei
;
Zhao Jinshi
;
Wang Baolin
;
Sun Kuo
;
Zhang Hongzhi
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
50.
A novel Hall dynamic offset cancellation circuit based on four-phase spinning current technique
机译:
基于四相纺丝电流技术的新型霍尔动态偏移消除电路
作者:
Xiaoqing Chen
;
Yue Xu
;
Xiaopeng Xie
;
YuFeng Guo
;
Yang Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
51.
Etch rate prediction in plasma etching using feed forward Error-Back Propagation neural network model
机译:
使用馈送前向逆向传播神经网络模型蚀刻速率预测等离子体蚀刻
作者:
Ha-Deok Song
;
Ho-Taek Noh
;
Dong-Il Kim
;
Seung-Soo Han
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
52.
Use soft-decision error-correction codes in Phase-Change Memory
机译:
在相变内存中使用软判决误差校正码
作者:
BinBin Li
;
Bolun Zhang
;
Yifan Zhang
;
Dongmei Xue
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
53.
Mask corner chopping effect in OPC modeling
机译:
OPC造型中的掩模角斩波效果
作者:
Weiwei Wu
;
Yu Shirui
;
Quan Chen
;
Zhibiao Mao
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
54.
RF solution with pingpong test mode
机译:
具有Pingpong测试模式的RF解决方案
作者:
Dong Lexlin
;
Shao Jason
;
Huang Nina
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
55.
High temperature power electronic module packaging
机译:
高温电力电子模块包装
作者:
Ang Simon S.
;
Hao Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
56.
28 nm poly-cut layer lithography process developments
机译:
28 nm多切层光刻工艺发育
作者:
Bi-Qiu Liu
;
Zhi-Feng Gan
;
Zheng-Kai Yang
;
Zhi-Biao Mao
;
Xiang-Guo Meng
;
Quan-Bo Li
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
57.
Process loading reduction on SADP FinFET etch
机译:
SADP FinFET蚀刻的过程加载减少
作者:
Yan Wang
;
Fangyuan Xiao
;
Dongjiang Wang
;
Qiuhua Han
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
58.
From the present to the future: Scaling of planar VLSI-CMOS devices towards 3D-FinFETs and beyond 10nm CMOS technologies; manufacturing challenges and future technology concepts
机译:
从现在到未来:将平面VLSI-CMOS设备缩放到3D-FinFET及超过10nm CMOS技术;制造挑战和未来技术概念
作者:
Hoentschel Jan
;
Wei A.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
3D-FinFET;
CMOS scaling;
CMOS technology concepts;
VLSI technology;
59.
Optimization of STI oxide recess uniformity for FinFET beyond 20nm
机译:
超过20nm超出FinFET的STI氧化物凹陷均匀性的优化
作者:
Lijuan Du
;
Hai Zhao
;
Weiguang Yang
;
Yang Rex
;
Chen Larry
;
Yu Shaofeng
;
Gang Mao
;
Qingling Wang
;
Yangkui Lin
;
Shicheng Ding
;
Zhengling Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
60.
K=0.266 immersion lithography patterning and its challenge for NAND FLASH
机译:
k = 0.266浸入式光刻图案及其对NAND闪光的挑战
作者:
Huayong Hu
;
Weiming He
;
Gaorong Li
;
Nannan Zhang
;
Liwan Yue
;
Ye Lei
;
Jinhua Pei
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
61.
A systematic study of layout proximity effects for 28nm Poly/SiON logic technology
机译:
28NM Poly / Sion逻辑技术布局邻近效应的系统研究
作者:
Ruoyuan Li
;
Jiajia Tao
;
Tao Yang
;
Zicheng Pan
;
Yuejiao Pu
;
Hong Wu
;
Yu Shaofeng
;
Falong Zhou
;
Yongping Deng
;
Ling Sun
;
Longyi Yue
;
Fengying He
;
Weizhong Xu
;
Ye Bin
;
Yu TzuChiang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
62.
Capillary design contribution to the bonding process quality of NiPd-PPF leadframes with Cu PdCu wires
机译:
用Cu&PDCU线对NIPD-PPF引线框架的键合工艺质量的毛细管设计贡献
作者:
Ilan Langut
;
Limor Zuri
;
Giyora Gur
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
63.
Challenges and solutions for 14nm FinFET etching
机译:
14nm FinFET蚀刻的挑战和解决方案
作者:
Huang Jun
;
Li Quanbo
;
Chong Ermin
;
Yi Chunyan
;
Li Runling
;
Gai Chenguang
;
Ma Zhibiao
;
Yu Zhang
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
64.
Etching and stripping process developments for sub-10nm FDSOI device architectures using alternative lithography techniques
机译:
使用替代光刻技术蚀刻和剥离用于Sub-10nm FDSoI器件架构的过程开发
作者:
Pollet O.
;
Barnola S.
;
Posseme N.
;
Pimenta-Barros P.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
65.
Wafer edge overlay control for 28 nm and beyond technology node
机译:
晶圆边缘叠加控制28 nm及超出技术节点
作者:
Rui Wang
;
Yuntao Jiang
;
Guogui Deng
;
Bin Xing
;
Chang Liu
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
66.
Study of fin CD controllability for FinFET manufacturing
机译:
FINFET制造鳍CD可控性研究
作者:
Hai Zhao
;
Gang Mao
;
Yang Rex
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
67.
Optimization of PET (Post Etch Treatment) steps to enlarge queue time and decrease defect counts in Ultra low-k material AIO (all in one) etch processes
机译:
优化PET(后蚀刻处理)扩大队列时间的步骤和减少超低k材料AIO中的缺陷计数(一体化)蚀刻工艺
作者:
Xu Zhang
;
Chen-Guang Gai
;
Jun Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
68.
Method for analog-mixed signal design verification and model calibration
机译:
模拟混合信号设计验证方法和模型校准方法
作者:
Chao Liang
;
Zhou Fang
;
Chen C.-Z.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
AMS behavior model;
UVM;
analog assertion;
analog mixed-signal verification;
model validation and calibration;
69.
The electromigration failure mechanism for TSV process
机译:
TSV过程的电迁移失效机制
作者:
Yong L.V.
;
Zhao Atman
;
Chen Canny
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
70.
Academic approach to new industry-relevant MEMS
机译:
新行业相关MEMS的学术方针
作者:
Tanaka Shuji
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
71.
High contrast mark used for in-situ UV nano-imprint lithography allignment
机译:
用于原位UV纳米压印光刻对准的高对比度标记
作者:
Li Ding
;
Jin Qin
;
Liang Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
72.
Low Cu electrolyte for advanced damascene plating
机译:
高级镶嵌镀层的低Cu电解质
作者:
Jian Zhou
;
Opocensky Edward C.
;
Reid Jonathan
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
73.
TSV fabrication for image sensor packaging
机译:
用于图像传感器包装的TSV制造
作者:
Wang Ping
;
Wang Bangxu
;
Jun L.V.
;
Huang Mark
;
Lai Carl
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
CMOS Imager Sensor;
PVD;
Plating;
Redistribution Layer;
Through silicon via;
74.
Novel approach to CMP slurry filtration through new generation nano-fiber technology
机译:
新一代纳米纤维技术CMP浆料过滤的新方法
作者:
Yang H.J.
;
Yi Wei Lu
;
Wang Henry
;
Shie Bob
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
75.
A new staircase test method for copper via electromigration on CMOS wafers
机译:
CMOS晶片电迁移的新楼梯测试方法
作者:
Seong Kirby Tan Kheng
;
Kordesch Albert Victor
;
Shahar Aftanasar Md
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
76.
Glass phase alignment in front side pastes for P- and N-type solar cells
机译:
前侧浆料的玻璃相位对准用于P-和N型太阳能电池
作者:
Eberstein Markus
;
Reinhardt Kathrin
;
Korner Stefan
;
Kiefer Fabian
;
Peibst Robby
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
77.
Investigation of dielectric film thickness nununiformity using plasma enhanced chemical vapor depsotion for 28nm technology
机译:
使用等离子体增强化学蒸气DECASION对28nm技术的介电膜厚度卧式性研究
作者:
Ming Zhou
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
78.
Current status and future prospect for thin film silicon based photovoltaic module manufacturing technology at Hanergy
机译:
薄膜基于薄膜硅的光伏模块制造技术的现状与未来前景
作者:
Xixiang Xu
;
Hui Zhao
;
Xiaoning Ru
;
Xinghong Zhou
;
Chengjian Hong
;
Chongyan Lian
;
Changtao Peng
;
Minghao Qu
;
Yue Zhang
;
Yu Cao
;
Anhong Hu
;
Huang James
;
Xiao Jack
;
Hu Chuck
;
Jinyan Zhang
;
Yuanmin Li
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
79.
Challenges and characterization of 14nm N-type bulk FinFET
机译:
14nm n型散装FINFET的挑战与特征
作者:
Yong Li
;
Jianhua Ju
;
Miao Liao
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
80.
Reliability verification of multi-power domain designs using an integrated approach of symbolic and geometry analysis
机译:
使用符号和几何分析综合方法的多功能域设计的可靠性验证
作者:
Srinivasan Sridhar
;
Hung-Hsu Feng
;
Yi-Ting Lee
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
81.
Active Optical Cable transceiver packaging trends and die bonding case studies
机译:
主动光缆收发器包装趋势和模具粘接案例研究
作者:
Evans Daniel D.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
82.
Effect of Si precursors on micro-loading, morphology and throughput of selective epitaxial growth of si and Si
1?x
Ge
x
机译:
Si前体对Si和Si
1的微量负荷,形态和吞吐量的微量负荷,形态和吞吐量αx 米> GE
X ING>
作者:
Gaire Churamani
;
Krishnan Bharat
;
Jinping Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
83.
Fabrication of 3D carbon structures based on C-MEMS technique (invited speaker)
机译:
基于C-MEMS技术的3D碳结构制造(邀请扬声器)
作者:
Shulan Jiang
;
Tielin Shi
;
Hu Long
;
Shuang Xi
;
Hu Hao
;
Siyi Cheng
;
Zirong Tang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
84.
The mask 3D effect on 2D pattern process window, positive focus shift, or negative focus shift? A simulation study
机译:
面膜3D效果对2D模式过程窗口,正面焦点换档或负焦点换档?一种模拟研究
作者:
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
85.
A wide input voltage range, output-capacitorless linear voltage regulator in 0.25UM BCD process
机译:
宽输入电压范围,输出电容器线性电压调节器在0.25um BCD过程中
作者:
Danhui Wang
;
Yuanfu Zhao
;
Suge Yue
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
86.
Noise analysis in advanced memory devices
机译:
高级存储器设备中的噪声分析
作者:
Simoen E.
;
Aoulaiche M.
;
Jurczak M.
;
Giusi G.
;
Claeys C.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
87.
A simple model for ultra-low specific contact resistivity metal- interfacial layer -semiconductor contacts
机译:
一种简单的超低特定接触电阻率金属 - 界面层 - 界面触点
作者:
Bencheng Huang
;
Yingming Liu
;
Xuezhen Jing
;
Beichao Zhang
;
Jingang Wu
;
Liming Gao
;
Chaoying Xie
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
Fermi level de-pinning;
M-I-S contacts;
Schottky barrier height;
metal induced gap states;
specific contact resistivity;
88.
Low cost photoresist stripper composition for wafer level packaging technology
机译:
低成本光致耐光辊组合物,用于晶圆级包装技术
作者:
Jianghua Liu
;
Pengcheng Wang
;
Bing Liu
;
Peng Libbert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
Etch rate;
Inhibitor;
Metal compatibility;
Photoresist stripper;
Wafer level packaging;
cleaning peformance;
89.
Enhanced electromigration resistance through grain size modulation in copper interconnects
机译:
通过铜互连的晶粒尺寸调制增强了电磁阻
作者:
Yang C.-C.
;
Li B.
;
Baumann F.H.
;
Huang E.
;
Edelstein D.
;
Rosenberg R.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
Copper;
electromigration;
grain size;
stress;
90.
28nm Metal Hard Mask etch process development
机译:
28NM金属硬面膜蚀刻工艺开发
作者:
Liyan Zhang
;
Chenguang Gai
;
Hongrui Ren
;
Jun Huang
;
Xu Zhang
;
Shugen Pen
;
Yu Zhang
;
Qiang Ge
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
91.
Techniques to improve read noise margin and write margin for bit-cell of 14nm FINFET node
机译:
用于提高读取噪声裕度的技术和14nm FinFET节点的比特单元格的写余量
作者:
Gong Zhang
;
Yu Li
;
Yu Shaofeng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
14nm;
Asymmetrical device;
Chanel Orientation;
DG Device;
FIN thickness and FIN high;
FINFET;
R/WAC;
RNM;
SRAM;
WM;
92.
Investigation and solution of intermittent GOI failures at 40 nm CMOS devices
机译:
40 nm CMOS器件中间歇性GOI故障的调查和解决方法
作者:
Ming Zhou
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
93.
Enabling capability of multi-patterning towards 10nm and beyond
机译:
使多图案化朝向10nm及以后的能力
作者:
Yaegashi Hidetami
;
Oyama Kenichi
;
Hara Arisa
;
Natori Sakurako
;
Yamauchi Shohei
;
Yamato Masatoshi
;
Okabe Noriaki
;
Koike Kyohei
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
1D layout;
LER;
Multi-patterning;
Pattern fidelity;
SADP;
SAOP;
SAQP;
94.
The analysis and reduction of auto focus failure of advanced darkfiled inspection system
机译:
高级黑菲尔德检测系统自动对焦故障的分析与减少
作者:
Zengyi Yuan
;
Qiliang Ni
;
Hunglin Chen
;
Yin Long
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
95.
Characterization and improvement of immersion process defectivity in memory device manufacturing
机译:
存储器件制造中浸没过程缺陷的特征及改进
作者:
Weiming He
;
Huayong Hu
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
96.
Impact of thermal budget on the low-frequency noise of DRAM peripheral nMOSFETs
机译:
热预算对DRAM外围NMOSFET的低频噪声的影响
作者:
Simoen E.
;
Ritzenthaler R.
;
Schram T.
;
Spessot A.
;
Aoulaiche M.
;
Fazan P.
;
Na H.-J.
;
Lee S.-G.
;
Son Y.
;
Noh K.B.
;
Horiguchi N.
;
Thean A.
;
Claeys C.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
97.
The study of 28nm node poly double patterning integrated process
机译:
28NM节点多双图案化综合过程研究
作者:
Zhonghua Li
;
Runling Li
;
Tianpeng Guan
;
Biqiu Liu
;
Xiaoming Mao
;
Xiangguo Meng
;
Quanbo Li
;
Fang Li
;
Zhengkai Yang
;
Yu Zhang
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
98.
A study on the cutting track of diamond tips on the pad surface during CMP
机译:
CMP期间垫表面上金刚石尖端切割轨道的研究
作者:
Haiyu Kui
;
Zongqing Yang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
99.
Enlarge the process window of patterns in 22nm node by using mask topography aware OPC and SMO
机译:
通过使用掩模形貌识别OPC和SMO来扩大22nm节点中的模式的过程窗口
作者:
Yansong Liu
;
Xiaojing Su
;
Lisong Dong
;
Zhiyang Song
;
Moran Guo
;
Yajuan Su
;
Yayi Wei
;
Fengliang Liu
;
Shengrui Zhang
;
Lile Lu
;
Weijie Shi
;
Junwei Lu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
100.
High-fidelity lithography
机译:
高保真光刻
作者:
Zhimin Zhu
;
Lowes Joyce
;
Krishnamurthy Vandana
;
Riojas Amanda
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
上一页
8
9
10
11
12
13
14
15
16
17
18
下一页
意见反馈
回到顶部
回到首页