掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
International Conference on Advanced Thermal Processing of Semiconductors
International Conference on Advanced Thermal Processing of Semiconductors
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
HIGH-RESOLUTION TRANSMISSION ELECTRON MICROSCOPY OF INTERFACES BETWEEN THIN NICKEL LAYERS ON Si(001) AFTER NICKEL SILICIDE FORMATION UNDER VARIOUS ANNEALING CONDITIONS
机译:
在各种退火条件下镍硅化物形成后Si(001)薄镍层之间的高分辨率透射电子显微镜
作者:
Toshiyuki Isshiki
;
Koji Nishio
;
Takashi Sasaki
;
Hiroshi Harima
;
Masahiro Yoshimoto
;
Takashi Fukada
;
Woo Sik Yoo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
2.
Hot Plate Emissivity Effect in Low Temperature Annealing
机译:
低温退火中的热板发射效果
作者:
Takashi Fukada
;
Woo Sik Yoo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
3.
Cobalt Silicide Formation Characteristics in a Single Wafer Rapid Thermal Furnace (SRTF) System
机译:
单晶片快速热炉(SRTF)系统中的硅化钴形成特性
作者:
Malik Igor J.
;
Ouaknine Michel
;
Ueda Takeshi
;
Fukada Takashi
;
Yoo Woo Sik
;
Erbetta Davide
;
Marangon Tina
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
cobalt compounds;
rapid thermal annealing;
titanium compounds;
wafer-scale integration;
350 to 700 C;
9 nm;
Co;
Si;
TiN;
cobalt silicidation;
cobalt silicide formation;
process temperature;
sheet resistance;
single wafer rapid thermal furnace system;
transition temper;
4.
NON-DESTRUCTIVE CHARACTERIZATION OF METAL-SEMICONDUCTOR INTERFACE BY RAMAN SCATTERING
机译:
拉曼散射的金属半导体界面的非破坏性表征
作者:
HIROSHI HARIMA
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
5.
Ultra-Shallow Junction Formation by Plasma Doping and Flash Lamp Annealing
机译:
等离子掺杂和闪光灯退火的超浅结
作者:
Tsutsui K.
;
Sasaki Y.
;
Jin C-G.
;
Sauddin H.
;
Majima K.
;
Fukagawa Y.
;
Aiba I.
;
Ito H.
;
Mizuno B.
;
Kakushima K.
;
Ahmet P.
;
Iwai H.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
boron;
incoherent light annealing;
laser beam annealing;
plasma immersion ion implantation;
rapid thermal annealing;
semiconductor doping;
semiconductor junctions;
silicon;
Hall measurements;
Si:B;
activation annealing;
electrical properties;
flash lamp annealing;
ju;
6.
IMPACT OF NI LAYER THICKNESS AND ANNEAL TIME ON NICKEL SILICIDE FORMATION BY RAPID THERMAL PROCESSING
机译:
快速热处理Ni层厚度和退火时间对镍硅化物形成的影响
作者:
T. Huelsmann
;
J. Niess
;
W. Lerch
;
O. Fursenko
;
D. Bolze
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
7.
The Progress in Ultra Thin Gate Dielecgtric for System LSI Application
机译:
用于系统LSI应用的超薄栅极二章中的进度
作者:
Yugami J.
;
Tsujikawa S.
;
Inoue M.
;
Mizutani M.
;
Hayashi T.
;
Nishida Y.
;
Umeda H.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
CMOS integrated circuits;
fluorine;
high-k dielectric thin films;
large scale integration;
silicon compounds;
N-rich SiON;
SiON:F;
high-K dielectric;
system LSI application;
ultra thin gate dielectric;
8.
Ultra-shallow Junction Formed by Plasma Doping and Laser Annealing
机译:
通过等离子体掺杂和激光退火形成的超浅结
作者:
Sungho Heo
;
Hyunsang Hwang
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
9.
Raman Study on the Process of SI Advanced Integrated Circuits
机译:
拉曼研究SI先进集成电路过程
作者:
Nishibe S.
;
Sasaki T.
;
Harima H.
;
Kisoda K.
;
Yamazaki T.
;
Yoo W.S.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Raman spectra;
Raman spectroscopy;
integrated circuit measurement;
internal stresses;
nondestructive testing;
phonons;
semiconductor technology;
silicon;
Raman microprobe;
Raman scattering;
Raman study;
Si;
fabrication processing control;
integration technology;
nonco;
10.
LASER ANNEALED Ni(Ti) SILICIDES FORMATION
机译:
激光退火的Ni(Ti)硅化物形成
作者:
Y. Setiawan
;
P. S. Lee
;
K. L. Pey
;
X. C. Wang
;
G. C. Lim
;
F. L. Chow
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
11.
DOUBLE-PULSED LASER ANNEALING TECHNOLOGIES AND RELATED APPLICATIONS
机译:
双脉冲激光退火技术及相关应用
作者:
Toshio Joshua Kudo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
12.
Millisecond Annealing with Flashlamps: Tool and Process Challenges
机译:
用Flashlamps毫秒退火:工具和过程挑战
作者:
Gebel T.
;
Rebohle L.
;
Fendler R.
;
Hentsch W.
;
Skorupa W.
;
Voelskow M.
;
Anwand W.
;
Yankov R. A.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
incoherent light annealing;
laser beam annealing;
flash lamp annealing;
flashlamps;
flexible solar cell technologies;
millisecond annealing;
polymer-based electronics;
reduced thermal budget;
13.
Fundamental Mechanisms for Reduction of Leakage Current of Silicon Oxide and Oxynitride through RTP-Induced Phonon-Energy Coupling
机译:
通过RTP诱导的声子能量耦合降低氧化硅和氮氧化物漏电流的基本机制
作者:
Chen Zhi
;
Guo Jun
;
Ong Pangleen
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
MOSFET;
bonds (chemical);
leakage currents;
rapid thermal annealing;
semiconductor device breakdown;
silicon compounds;
MOS transistors;
RTP;
SiOlt;
subgt;
2lt;
/subgt;
SiON;
bond strengthening;
breakdown voltage;
deuterium anneal;
hot-electron degradation;
intrinsic properties;
14.
Laser Annealing Technology and Device Integration Challenges
机译:
激光退火技术和设备集成挑战
作者:
Shima Akio
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
CMOS integrated circuits;
hot carriers;
laser beam annealing;
CMOS devices;
deep source/drain junction;
device integration challenges;
gate pre-annealing;
hot carrier degradation;
laser annealing technology;
laser thermal process;
nonmelt laser spike annealing;
opt;
15.
MECHANICAL STRESS IN SILICON BASED MATERIALS: EVOLUTION UPON ANNEALING AND IMPACT ON DEVICES PERFORMANCES
机译:
基于硅材料的机械应力:退火时的进化和对器件性能的影响
作者:
Pierre Morin
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
16.
Cobalt Silicide Formation Characteristics in a Single Wafer Rapid Thermal Furnace (SRTF) System
机译:
单晶片快速热炉(SRTF)系统中的硅化钴形成特性
作者:
Igor J. Malik
;
Michel Ouaknine
;
Takeshi Ueda
;
Takashi Fukada
;
Woo Sik Yoo
;
Davide Erbetta
;
Tina Marangon
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
17.
Growing Importance of Fundamental Understanding of the Source of Process Variations
机译:
对流程变化来源的根本理解越来越重要
作者:
Sato Susumu
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
process monitoring;
quality control;
semiconductor device manufacture;
statistical analysis;
statistical process control;
control charts;
process capability;
process controlling;
process monitoring;
process tolerance;
process variations;
quality control;
semiconduct;
18.
Low Thermal Budget Activation of B in Si
机译:
SI中B的低热预算激活
作者:
Bourdon H.
;
Halimaoui A.
;
Talbot A.
;
Venturini J.
;
Marcelot O.
;
Dutartre D.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
boron;
buried layers;
elemental semiconductors;
ion implantation;
laser beam annealing;
rapid thermal annealing;
secondary ion mass spectroscopy;
silicon;
transmission electron microscopy;
wafer bonding;
400 C;
RTP;
SIMS;
Si:B;
TEM;
UV laser annealing;
amorphisation thr;
19.
Flash Lamp Annealing Latest Technology for 45nm device and Future devices
机译:
闪光灯退火最新技术45nm设备和未来设备
作者:
Kiyama H.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
incoherent light annealing;
semiconductor technology;
45 nm;
45nm device;
65nm generation;
S/D activation;
device manufacturing;
flash lamp annealing;
process controllability;
silicidation controllability;
20.
Ni-Silicide/Si and SiGe(C) Contact Technology for ULSI Applications
机译:
镍硅/ Si和SiGe(c)联系技术为ULSI应用
作者:
Nakatsuka Osamu
;
Zaima Shigeaki
;
Sakai Akira
;
Ogawa Masaki
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Ge-Si alloys;
ULSI;
annealing;
carbon;
contact resistance;
elemental semiconductors;
nickel compounds;
ohmic contacts;
silicon;
650 to 750 C;
NiSi agglomeration;
NiSi layers;
NiSi-Si;
SiGe:C;
ULSI applications;
activation energy;
annealing time;
contact resistivity;
conta;
21.
Kinetics of Shallow Junction Activation: Physical Mechanisms
机译:
浅交界件激活的动力学:物理机制
作者:
H. W. Kennel
;
M. D. Giles
;
M. Diebel
;
P. H. Keys
;
J. Hwang
;
S. Govindaraju
;
M. Liu
;
A. Budrevich
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
22.
THE PROGRESS IN ULTRA THIN GATE DIELECGTRIC FOR SYSTEM LSI APPLICATION
机译:
用于系统LSI应用的超薄栅极二章中的进度
作者:
J. Yugami
;
S. Tsujikawa
;
M. Inoue
;
M. Mizutani
;
T. Hayashi
;
Y. Nishida
;
H. Umeda
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
23.
Optimization of annealing for ClusterBoron and ClusterCarbon PMOS SDE
机译:
群体Boron和ClusterCarbon PMOS SDE退火的优化
作者:
Karuppanan Sekar
;
Wade Krull
;
Kurt Verheyden
;
Klaus Funk
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
24.
Mechanical Stress in Silicon Based Materials: Evolution Upon Annealing and Impact on Devices Performances
机译:
基于硅材料的机械应力:退火时的进化和对器件性能的影响
作者:
Morin Pierre
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
CMOS integrated circuits;
annealing;
dielectric materials;
mechanical properties;
silicon;
stress effects;
CMOS transistors integration;
Si;
annealing;
devices performances;
film stress impact;
integration flow;
mechanical stress;
shallow trench insulators;
silicon ba;
25.
ULTRA-SHALLOW JUNCTION FORMATION BY PLASMA DOPING AND FLASH LAMP ANNEALING
机译:
等离子掺杂和闪光灯退火的超浅结
作者:
K. Tsutsui
;
Y. Sasaki
;
C. G. Jin
;
H. Sauddin
;
K. Majima
;
Y. Fukagawa
;
I. Aiba
;
H. Ito
;
B. Mizuno
;
K. Kakushima
;
P. Ahmet
;
H. Iwai
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
26.
Insertion Error in LPRT Temperature Measurements
机译:
LPRT温度测量中的插入误差
作者:
Qu Yan
;
Howell John R.
;
Puttitwong Ekachai
;
Ezekoye Ofodike A.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Monte Carlo methods;
heat sinks;
measurement errors;
rapid thermal processing;
temperature measurement;
thermometers;
LPRT temperature measurements;
Monte Carlo simulation;
drawdown effect;
heat sink;
insertion error;
light-pipe probe;
light-pipe radiation thermomet;
27.
LOW THERMAL BUDGET ACTIVATION OF B IN Si
机译:
SI中B的低热预算激活
作者:
H. BOURDON
;
A. HALIMAOUI
;
A. TALBOT
;
J. VENTURINI
;
O. MARCELOT
;
D. DUTARTRE
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
28.
Optimization of annealing for ClusterBoron庐 and ClusterCarbon PMOS SDE
机译:
ClaserBoron庐和ClusterCarbon PMOS SDE退火的优化
作者:
Sekar Karuppanan
;
Krull Wade
;
Verheyden Kurt
;
Funk Klaus
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
MOSFET;
amorphisation;
annealing;
boron;
carbon;
ion implantation;
semiconductor doping;
semiconductor junctions;
15 to 20 nm;
Blt;
subgt;
18lt;
/subgt;
Hlt;
subgt;
22lt;
/subgt;
ClusterBoron PMOS SDE;
ClusterCarbon PMOS SDE;
Si:B;
Si:C;
annealing process;
boron implant;
damage level effect;
29.
Laser Thermal Annealing for Power Field Effect Transistor by using Deep Melt Activation
机译:
通过使用深熔体激活,激光热退火用于电源场效应晶体管
作者:
Thomas Gutt
;
Holger Schulze
;
Thomas Rupp
;
Julien Venturini
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Laser Annealing;
Melt Phase;
Power field effect transistor;
Overlapping laser shots;
30.
Improvement of within Wafer Uniformity of Device Parameters by Gradient Temperature Control with Bell Jar Hot Wall RTP
机译:
用贝尔罐热壁RTP改进装置参数晶圆均匀性。
作者:
Lee KyungWon
;
Kim Steve
;
Frisella Peter
;
Jacobs Brad
;
Cai Gary
;
Reece Ron
;
Kwak NohYeal
;
Ham ChulYoung
;
Joo KwangChul
;
Lee DongHo
;
Park SangWook
;
Park SungKi
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
rapid thermal processing;
sheet materials;
temperature control;
FEOL processes;
bell jar hot wall RTP system;
concave sheet resistance maps;
convex sheet resistance maps;
cross-wafer thermal uniformity;
cross-wafer threshold voltage variation;
flash device;
gradie;
31.
Ni-SILICIDE/Si AND SiGe(C) CONTACT TECHNOLOGY FOR ULSI APPLICATIONS
机译:
镍硅/ Si和SiGe(c)联系技术为ULSI应用
作者:
Osamu Nakatsuka
;
Shigeaki Zaima
;
Akira Sakai
;
Masaki Ogawa
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
32.
SUB-30nm MOSFET FABRICATION TECHNOLOGY INCORPORATING PRECISE DOPANT PROFILE DESIGN USING DIFFUSION-LESS HIGH-ACTIVATION LASER ANNEALING
机译:
SUB-30NM MOSFET制造技术采用较少扩散的高激光激光退火的精确掺杂剂型材设计
作者:
M. Narihiro
;
T. Iwamoto
;
T. Yamamoto
;
T. Ikezawa
;
K. Yako
;
M. Tanaka
;
A. Mineji
;
Y. Okuda
;
K. Uejima
;
S. Shishiguchi
;
M. Hane
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
33.
RTP DIFFUSION AND JUNCTION FORMATION IN Si AND GaAs
机译:
Si和GaAs中的RTP扩散和结形成
作者:
Sergiu Shishiyanu
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
34.
Micro-Scale Sheet Resistance Measurements on Ultra Shallow Junctions
机译:
超浅线的微尺寸薄层电阻测量
作者:
Petersen Christian L.
;
Lin Rong
;
Petersen Dirch H.
;
Nielsen Peter F.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
electric resistance measurement;
laser beam annealing;
semiconductor junctions;
annealing process;
implanted wafers;
laser annealed wafers;
microfabricated four-point probes;
microscale sheet resistance measurements;
microscopic four-point probes;
tip-to-tip spac;
35.
MILLISECOND ANNEALING WITH FLASHLAMPS: TOOL AND PROCESS CHALLENGES
机译:
用Flashlamps毫秒退火:工具和过程挑战
作者:
T. Gebel
;
L. Rebohle
;
R. Fendler
;
W. Hentsch
;
W. Skorupa
;
M. Voelskow
;
W. Anwand
;
R. A. Yankov
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
36.
Changes in Optical Properties during Nickel Silicide Formation and Potential Impact on Process Results using Various Heating Methods
机译:
硅化镍硅化物形成期间的光学性质的变化和使用各种加热方法对工艺结果的潜在影响
作者:
Yoo Woo Sik
;
Fukada Takashi
;
Malik Igor J.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
X-ray diffraction;
annealing;
metallic thin films;
metallisation;
nanoelectronics;
nickel compounds;
reflectivity;
semiconductor-metal boundaries;
silicon;
sputtered coatings;
transmission electron microscopy;
200 to 450 C;
Ni-Si;
Nilt;
subgt;
2lt;
/subgt;
Si;
NiSi;
X-ray diffract;
37.
Rapid Thermal Processing Strategies for Highly Uniform and Repeatable Process Results on Patterned Wafers
机译:
用于高度均匀和可重复的过程的快速热处理策略,结果是图案晶圆
作者:
Yoo Woo Sik
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
rapid thermal processing;
semiconductor technology;
temperature control;
temperature measurement;
direct wafer temperature control;
highly uniform repeatable process;
indirect wafer temperature control;
patterned wafers;
rapid thermal processing strategies;
tempe;
38.
Raman Study of Low-Temperature Formation of Nickel Silicide Layers
机译:
镍硅化物层低温形成的拉曼研究
作者:
Sasaki T.
;
Nishibe S.
;
Harima H.
;
Isshiki T.
;
Yoshimoto M.
;
Kisoda K.
;
Yoo W.S.
;
Fukada T.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Raman spectroscopy;
integrated circuit measurement;
metallisation;
nanoelectronics;
nickel compounds;
rapid thermal annealing;
silicon;
transmission electron microscopy;
wafer-scale integration;
Ni-Si;
Raman scattering;
Raman study;
TEM;
cold wall annealing;
grain siz;
39.
Thermal Controllability of High Temperature (>1400°C) Rapid Thermal Oxidation for SiC MOSFET
机译:
用于SiC MOSFET的高温(> 1400°C)热氧化的热可控性
作者:
Seiji Ogata
;
Tadashi Oka
;
Katsumi Tsuda
;
Tokio Nakayama
;
Ryoji Kosugi
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
40.
High-Resolution Transmission Electron Microscopy of Interfaces between thin Nickel Layers on Si(001) After Nickel Silicide Formation under Various Annealing Conditions
机译:
在各种退火条件下镍硅化物形成后Si(001)薄镍层之间的高分辨率透射电子显微镜
作者:
Isshiki Toshiyuki
;
Nishio Koji
;
Sasaki Takashi
;
Harima Hiroshi
;
Yoshimoto Masahiro
;
Fukada Takashi
;
Yoo Woo Sik
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Fourier analysis;
annealing;
interface structure;
nickel compounds;
semiconductor-metal boundaries;
silicon;
sputtered coatings;
substrates;
transmission electron microscopy;
498 K;
600 K;
Fourier analysis;
NiSilt;
subgt;
2lt;
/subgt;
-Si;
Si;
Si (100) substrate;
crystal lattices;
41.
Laser Annealed Ni(Ti) Silicides Formation
机译:
激光退火的Ni(Ti)硅化物形成
作者:
Setiawan Y.
;
Lee P.S.
;
Pey K.L.
;
Wang X.C.
;
Lim G.C.
;
Chow F.L.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
laser beam annealing;
metallisation;
nickel compounds;
ohmic contacts;
rapid thermal annealing;
titanium compounds;
600 C;
LTA;
Ni(Ti) silicides formation;
Nilt;
subgt;
3lt;
/subgt;
Silt;
subgt;
2lt;
/subgt;
RTA;
Ti;
TiOlt;
subgt;
xlt;
/subgt;
laser annealing;
laser fluence;
nickel silicide formation;
42.
PATTERN EFFECTS WITH THE MASK OFF ...
机译:
用掩模关闭模式效果......
作者:
Z. Nenyei
;
J. Niess
;
W. Lerch
;
W. Dietl
;
P. J. Timans
;
P. Pichler
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
43.
CALIBRATION OF LOW TEMPERATURE CABLE-LESS LIGHTPIPE PYROMETER ON THE NIST PEB TEST BED BETWEEN 50°C and 230°C
机译:
低温电缆的校准在NIST PEB试验床上的低温电缆的Lightpipe高温计在50°C和230°C之间
作者:
B. K. Tsai
;
K. G. Kreider
;
W. A. Kimes
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
44.
PROCESS INTEGRATION ISSUES WITH SPIKE, FLASH AND LASER ANNEAL IMPLEMENTATION FOR 90 AND 65 NM TECHNOLOGIES
机译:
流程与90和65 NM技术的尖峰,闪光和激光退火实施的整合问题
作者:
Th. Feudel
;
M. Horstmann
;
L. Herrmann
;
M. Herden
;
M. Gerhardt
;
D. Greenlaw
;
P. Fisher
;
J. Kluth
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
45.
Laser Thermal Annealing for Power Field Effect Transistor by using Deep Melt Activation
机译:
通过使用深熔体激活,激光热退火用于电源场效应晶体管
作者:
Gutt Thomas
;
Schulze Holger
;
Rupp Thomas
;
Venturini Julien
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
excimer lasers;
field effect transistors;
laser beam annealing;
ohmic contacts;
rapid thermal annealing;
deep melt activation;
electrical contact;
excimer laser;
laser annealing;
laser thermal annealing;
ohmic contact;
overlapping mode;
power field effect transistor;
46.
Device Scaling Effect on the Spectral Absorptance of Wafer Front Side
机译:
关于晶片前侧光谱吸收率的装置缩放效应
作者:
Fu Kang
;
Chen Yu-Bin
;
Hsu Pei-feng
;
Zhang Zhuomin M.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Maxwell equations;
elemental semiconductors;
finite difference time-domain analysis;
light absorption;
silicon;
substrates;
Maxwell equations;
device scaling effect;
finite-difference time-domain method;
oxide trenches;
rigorous coupled wave analysis;
silicon gates;
47.
Impact of NI Layer Thickness and Anneal Time on Nickel Silicide Formation by Rapid Thermal Processing
机译:
快速热处理Ni层厚度和退火时间对镍硅化物形成的影响
作者:
Huelsmann T.
;
Niess J.
;
Lerch W.
;
Fursenko O.
;
Bolze D.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
ellipsometry;
metallisation;
nickel compounds;
rapid thermal annealing;
semiconductor-metal boundaries;
thickness measurement;
200 to 800 C;
Ni-Si;
NiSi;
anneal time;
electrical measurement;
layer thickness;
nickel silicidation process;
nickel silicide formation;
opti;
48.
NBTI Immune First Plasma Nitridation SiON with Multiple Single-Wafer Tools for 45nm node Gate Dielectrics
机译:
NBTI免疫第一等离子体氮化SION,具有用于45nm节点栅极电介质的多个单晶片工具
作者:
Tanaka M.
;
Koyama S.
;
Hasegawa E.
;
Olsen C.
;
Shishiguchi S.
;
Hane M.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
CMOS integrated circuits;
dielectric materials;
nanotechnology;
nitridation;
silicon compounds;
45 nm;
CMOS application;
NBTI immune;
SiON;
gate dielectrics;
interfacial nitrogen concentration;
multiple single-wafer tools;
negative bias temperature instability;
plasm;
49.
Thermal Controllability of High Temperature (>1400掳C) Rapid Thermal Oxidation for SiC MOSFET
机译:
高温(> 1400掳C)SiC MOSFET的热氧化的热可控性
作者:
Ogata Seiji
;
Oka Tadashi
;
Tsuda Katsumi
;
Nakayama Tokio
;
Kosugi Ryoji
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
MOSFET;
nitridation;
numerical analysis;
oxidation;
rapid thermal annealing;
rapid thermal processing;
silicon compounds;
wide band gap semiconductors;
1400 C;
AIST group;
MOSFET;
SiOlt;
subgt;
2lt;
/subgt;
-SiC;
chemical reaction;
cold-wall oxidation furnace;
computational numer;
50.
Sub-30nm Mosfet Fabrication Technology Incorporating Precise Dopant Profile Design using Diffusion-Less High-Activation Laser Annealing
机译:
SUB-30NM MOSFET制造技术采用较少扩散的高激光激光退火的精确掺杂剂型材设计
作者:
Narihiro M.
;
Iwamoto T.
;
Yamamoto T.
;
Ikezawa T.
;
Yako K.
;
Tanaka M.
;
Mineji A.
;
Okuda Y.
;
Uejima K.
;
Shishiguchi S.
;
Hane M.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
MOSFET;
doping profiles;
ion implantation;
laser beam annealing;
MOSFET;
dopant profile;
high-activation laser annealing;
multiple halo implantations;
nonmelt laser annealing;
optimized gate-predoping;
51.
Flash Annealing Technology for USJ: Modeling and Metrology
机译:
USJ的Flash退火技术:建模和计量
作者:
Gelpey Jeff
;
McCoy Steve
;
Camm David
;
Lerch Wilfried
;
Paul Silke
;
Pichler Peter
;
Borland John O.
;
Timans Paul
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
electric resistance measurement;
incoherent light annealing;
ion implantation;
leakage currents;
semiconductor process modelling;
technology CAD (electronics);
activation modeling;
advanced technology nodes;
controlled dopant diffusion;
defect evolution;
device be;
52.
IMPROVEMENT OF WITHIN WAFER UNIFORMITY OF DEVICE PARAMETERS BY GRADIENT TEMPERATURE CONTROL WITH BELL JAR HOT WALL RTP
机译:
用贝尔罐热壁RTP改进装置参数晶圆均匀性。
作者:
KyungWon Lee
;
Steve Kim
;
Peter Frisella
;
Brad Jacobs
;
Gary Cai
;
Ron Reece
;
NohYeal Kwak
;
ChulYoung Ham
;
KwangChul Joo
;
DongHo Lee
;
SangWook Park
;
SungKi Park
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
53.
MICRO-SCALE SHEET RESISTANCE MEASUREMENTS ON ULTRA SHALLOW JUNCTIONS
机译:
超浅线的微尺寸薄层电阻测量
作者:
Christian L. Petersen
;
Rong Lin
;
Dirch H. Petersen
;
Peter F. Nielsen
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
54.
INFLUENCE OF THE ATMOSPHERE ON ULTRA-THIN OXYNITRIDE FILM FOR PRECISELY CONTROLED PLASMA NITRIDATION PROCESS
机译:
大气对精确控制等离子体氮化过程的超薄氮化物膜的影响
作者:
K. Saki
;
M. Tamaoki
;
T. Shimizu
;
S. Ito
;
S. Mori
;
A. Shimazaki
;
I. Mizushima
;
A. Yamamoto
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
55.
Double-Pulsed Laser Annealing Technologies and Related Applications
机译:
双脉冲激光退火技术及相关应用
作者:
Kudo Toshio Joshua
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
crystal growth;
insulated gate bipolar transistors;
laser beam annealing;
p-n junctions;
thin film transistors;
1 kHz;
B-implant layer;
P-implant layer;
Si:B;
Si:P;
advanced lateral crystal growth process;
deep PN junction;
delay time;
double-pulsed laser annealing;
d;
56.
Process-Integration Challenges with Up-To-Date Modulation of Scaling Laws
机译:
对扩展法的最新调制的过程 - 整合挑战
作者:
Nakai Satoshi
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
CMOS integrated circuits;
CMOS scaling laws;
CMOS shrinkage;
process-integration challenges;
57.
Growing Importance of Fundamental Understanding of the Source of Process Variations
机译:
对流程变化来源的根本理解越来越重要
作者:
Susumu Sato
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
58.
NON-CONTACT, NON-DESTRUCTIVE CHARACTERIZATION OF CRYSTAL QUALITY IN ULTRA-SHALLOW ION IMPLANTED SILICON WAFERS BEFORE AND AFTER ANNEALING
机译:
在退火之前和之后的超浅离子植入硅晶片中的晶体质量的非接触式,非破坏性表征
作者:
Masahiro Yoshimoto
;
Hiroshi Nishigaki
;
Hiroshi Harima
;
Toshiyuki Isshiki
;
Kitaek Kang
;
Woo Sik Yoo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
59.
PROCESS-INTEGRATION CHALLENGES WITH UP-TO-DATE MODULATION OF SCALING LAWS
机译:
对扩展法的最新调制的过程 - 整合挑战
作者:
Satoshi Nakai
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
60.
Laser Annealing Technology and Device Integration Challenges
机译:
激光退火技术和设备集成挑战
作者:
Akio Shima
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
61.
Changes in Optical Properties during Nickel Silicide Formation and Potential Impact on Process Results using Various Heating Methods
机译:
硅化镍硅化物形成期间的光学性质的变化和使用各种加热方法对工艺结果的潜在影响
作者:
Woo Sik Yoo
;
Takashi Fukada
;
Igor J. Malik
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
62.
NBTI Immune First Plasma Nitridation SiON with Multiple Single-Wafer Tools for 45nm node Gate Dielectrics
机译:
NBTI免疫第一等离子体氮化SION,具有用于45nm节点栅极电介质的多个单晶片工具
作者:
M. Tanaka
;
S. Koyama
;
E. Hasegawa
;
C. Olsen
;
S. Shishiguchi
;
M. Hane
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
63.
Process Integration Issues with Spike, Flash and Laser Anneal Implementation for 90 and 65 NM Technologies
机译:
流程与90和65 NM技术的尖峰,闪光和激光退火实施的整合问题
作者:
Feudel Th.
;
Horstmann M.
;
Herrmann L.
;
Herden M.
;
Gerhardt M.
;
Greenlaw D.
;
Fisher P.
;
Kluth J.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
CMOS logic circuits;
laser beam annealing;
nanotechnology;
rapid thermal annealing;
silicon-on-insulator;
65 nm;
90 nm;
SOI logic technologies;
Si;
active area activation;
flash anneal;
laser anneal;
pattern effects;
power density limitations;
process integration;
redu;
64.
Hot Plate Emissivity Effect in Low Temperature Annealing
机译:
低温退火中的热板发射效果
作者:
Fukada Takashi
;
Yoo Woo Sik
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
aluminium;
annealing;
emissivity;
oxidation;
surface roughness;
thermal stability;
100 to 500 C;
Al;
aluminum hot plates;
emissivity enhancement;
hot plate emissivity effect;
hot plate material;
low temperature annealing;
machining precision;
quartz plates;
surface oxi;
65.
Influence of the Atmosphere on Ultra - Thin Oxynitride Film for Precisely Controled Plasma Nitridation Process
机译:
大气对精英控制等离子体氮化过程的超薄氧氮化膜的影响
作者:
Saki K.
;
Tamaoki M.
;
Shimizu T.
;
Ito S.
;
Mori S.
;
Shimazaki A.
;
Mizushima I.
;
Yamamoto A.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
MOSFET;
adsorption;
dielectric thin films;
nitridation;
plasma materials processing;
process control;
MOSFET;
TDDB characteristic;
adsorbed organic contaminant;
clean room atmosphere;
electrical thickness;
nitrogen concentration;
plasma nitridation process;
post nitr;
66.
Calibration of Low Temperature Cable-Less Lightpipe Pyrometer on the NIST PEB Test Bed Between 50 掳C and 230 掳C
机译:
低温电缆的校准在NIST PEB测试床上的低温电缆 - 较小的Lightpipe高温计到50℃和230°C之间
作者:
Tsai B.K.
;
Kreider K.G.
;
Kimes W.A.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
calibration;
heat pipes;
pyrometers;
silicon;
thermocouples;
thermometers;
50 to 230 C;
CLRT calibrations;
NIST PEB test bed;
Si;
cable-less lightpipe radiation thermometer;
noncontact measurements;
post-exposure bake test bed;
silicon wafer;
thermocouple standards;
wa;
67.
Kinetics of Shallow Junction Activation: Physical Mechanisms
机译:
浅交界件激活的动力学:物理机制
作者:
Kennel H.W.
;
Giles M.D.
;
Diebel M.
;
Keys P.H.
;
Hwang J.
;
Govindaraju S.
;
Liu M.
;
Budrevich A.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
annealing;
incoherent light annealing;
laser beam annealing;
semiconductor doping;
semiconductor junctions;
silicon;
Si;
diffusionless profiles;
millisecond flash anneals;
scanning laser anneals;
shallow junction activation;
superactive doping;
68.
Rapid Thermal Processing Strategies for Highly Uniform and Repeatable Process Results on Patterned Wafers
机译:
用于高度均匀和可重复的过程的快速热处理策略,结果是图案晶圆
作者:
Woo Sik Yoo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
69.
RAMAN STUDY OF LOW-TEMPERATURE FORMATION OF NICKEL SILICIDE LAYERS
机译:
镍硅化物层低温形成的拉曼研究
作者:
T. Sasaki
;
S. Nishibe
;
H. Harima
;
T. Isshiki
;
M. Yoshimoto
;
K. Kisoda
;
W. S. Yoo
;
T. Fukada
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
70.
Non-Contact, Non-Destructive Characterization of Crystal Quality in Ultra-Shallow ion Implanted Silicon Wafers Before and after Annealing
机译:
在退火之前和之后的超浅离子植入硅晶片中的晶体质量的非接触式,非破坏性表征
作者:
Yoshimoto Masahiro
;
Nishigaki Hiroshi
;
Harima Hiroshi
;
Isshiki Toshiyuki
;
Kang Kitaek
;
Yoo Woo Sik
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
Raman spectra;
boron;
incoherent light annealing;
ion implantation;
nondestructive testing;
rapid thermal annealing;
recrystallisation annealing;
silicon;
Raman evaluation;
Si:B;
crystal quality;
crystalline lattice image;
millisecond flash annealing;
noncontact char;
71.
DEVICE SCALING EFFECT ON THE SPECTRAL ABSORPTANCE OF WAFER FRONT SIDE
机译:
关于晶片前侧光谱吸收率的装置缩放效应
作者:
Kang Fu
;
Yu-Bin Chen
;
Pei-feng Hsu
;
Zhuomin M. Zhang
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
72.
Non-Destructive Characterization of Metal-Semiconductor Interface by Raman Scattering
机译:
拉曼散射的金属半导体界面的非破坏性表征
作者:
Harima Hiroshi
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
MOSFET;
Raman spectra;
semiconductor device measurement;
semiconductor-metal boundaries;
Raman scattering;
Si;
device manufacturing process;
interface interactions;
metal-semiconductor interface;
metallic electrodes;
micrometric scale characterization;
nanometric s;
73.
INSERTION ERROR IN LPRT TEMPERATURE MEASUREMENTS
机译:
LPRT温度测量中的插入误差
作者:
Yan Qu
;
John R. Howell
;
Ekachai Puttitwong
;
Ofodike A. Ezekoye
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
74.
RTP Diffusion and Junction Formation in Si and GaAs
机译:
Si和GaAs中的RTP扩散和结形成
作者:
Shishiyanu Sergiu
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
III-V semiconductors;
diffusion;
elemental semiconductors;
gallium arsenide;
p-n junctions;
phosphorus;
rapid thermal annealing;
silicon;
zinc;
GaAs:Zn;
RTP diffusion;
Si:P;
dissociative diffusion mechanism;
junction formation;
n-p junctions;
p-n junctions;
quantum effe;
75.
Ultra-shallow Junction Formed by Plasma Doping and Laser Annealing
机译:
通过等离子体掺杂和激光退火形成的超浅结
作者:
Heo Sungho
;
Hwang Hyunsang
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
laser beam annealing;
plasma immersion ion implantation;
semiconductor doping;
semiconductor junctions;
Si;
high activation rates;
laser annealing;
low sheet resistance;
plasma doping;
reduced defect density;
reduced dopant deactivation;
shallow junction depth;
ultr;
76.
RAMAN STUDY ON THE PROCESS OF SI ADVANCED INTEGRATED CIRCUITS
机译:
拉曼研究SI先进集成电路过程
作者:
S. Nishibe
;
T. Sasaki
;
H. Harima
;
K. Kisoda
;
T. Yamazaki
;
W. S. Yoo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
77.
Titanium Silicide Formation: Process Characterization Using Single Wafer Rapid Thermal Furnace System
机译:
硅化钛形成:使用单晶片快速热炉系统进行工艺表征
作者:
Garroux Dominique
;
Ouaknine Michel
;
Malik Igor J.
;
Fukada Takashi
;
Odera Masato
;
Ishigaki Toshikazu
;
Ueda Takeshi
;
Yoo Woo Sik
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
oxidation;
rapid thermal annealing;
semiconductor technology;
sheet materials;
titanium compounds;
Si;
TiSilt;
subgt;
2lt;
/subgt;
TiSilt;
subgt;
2lt;
/subgt;
films;
dry oxidation;
hot wall single wafer rapid thermal furnace system;
oxide thickness;
process characterization;
process rep;
78.
Titanium Silicide Formation: Process Characterization Using Single Wafer Rapid Thermal Furnace System
机译:
硅化钛形成:使用单晶片快速热炉系统进行工艺表征
作者:
Dominique Garroux
;
Michel Ouaknine
;
Igor J. Malik
;
Takashi Fukada
;
Masato Odera
;
Toshikazu Ishigaki
;
Takeshi Ueda
;
Woo Sik Yoo
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
79.
Pattern Effects with the Mask off...
机译:
用掩模关闭模式效果......
作者:
Nenyei Z.
;
Niess J.
;
Lerch W.
;
Dietl W.
;
Timans P. J.
;
Pichler P.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2006年
关键词:
annealing;
chemical mechanical polishing;
lithography;
rapid thermal processing;
sputter etching;
temperature control;
CMP;
RTP;
black body cavity;
hot shield;
lithography;
mask off;
pattern effects;
plasma etch processing;
silicon wafers;
spike annealing;
temperature c;
80.
Selective Rapid Thermal Oxidation of Silicon vs. Tungsten using Oxygen in Hydrogen
机译:
使用氢气在氢气中选择性快速热氧化硅与钨
作者:
Ripley M.
;
Balasubramanian R.
;
Tam N.
;
Yokota Y.
;
An Bae Lee
;
Tae Jung Kim
;
Chung Hun Lee
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
关键词:
Element;
Surface;
Binary;
oxidation;
reflectivity;
silicon compounds;
transmission electron microscopy;
tungsten;
RadOxtrade solution;
Si;
Si;
SiOlt;
subgt;
2lt;
/subgt;
SiOlt;
subgt;
2lt;
/subgt;
TEM observations;
W;
W;
applied materials radiancetrade chamber;
hydrogen gas;
oxygen gas;
81.
Impact of sub-melt laser annealing on Si
1-x
Ge
x
source /drain defectivity
机译:
Si
1-X / INM> GE
X / INM>源/漏极缺陷的副熔体激光退火的影响
作者:
Rosseel E.
;
Lu J.P.
;
Hikavyy A.
;
Verheyen P.
;
Hoffmann T.
;
Richard O.
;
Geypen J.
;
Bender H.
;
Loo R.
;
Absil P.
;
McIntosh R.
;
Felch S.B.
;
Schreutelkamp R.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
关键词:
Surface;
Interface;
Ge-Si alloys;
MOSFET;
annealing;
laser materials processing;
semiconductor materials;
thermal stresses;
PFET transistor;
Si;
Si;
Silt;
subgt;
1-xlt;
/subgt;
Gelt;
subgt;
xlt;
/subgt;
-Si;
SiGe-Si;
defect density;
laser annealing;
leakage current;
source-drain defectivit;
82.
Thermal Processing with Maximized Simplicity and Energy Efficiency
机译:
热加工,具有最大简单和能量效率
作者:
Malik Igor J.
;
Ouaknine Michel
;
Fukada Takashi
;
Yoo Woo Sik
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
83.
Highly Reliable Rapid Thermal Selective Gate Re-Oxidation Process of Advanced Metal Gate Stacks with Tungsten Electrode
机译:
高度可靠的快速热选择栅极重新氧化工艺,具有钨电极的先进金属栅极堆叠
作者:
Niess J.
;
Kirchner C.
;
Dietl W.
;
Meyer H.-J.
;
Nadig B.
;
Lerch W.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
关键词:
MIS structures;
elemental semiconductors;
oxidation;
reflectivity;
silicon;
tungsten;
tungsten compounds;
W-WN-Si;
W-WN-Si;
advanced metal gate stacks;
rapid thermal selective gate re-oxidation;
secondary ion mass spectroscopy;
spectral reflectivity;
temp;
Interface;
84.
The Reflectivity enhancement of Ni/Ag/(Ti or Mo)/Au Ohmic Contact for Flip-Chip Light-Emitting Diode Applications
机译:
用于倒装芯片发光二极管应用的Ni / Ag /(Ti或Mo)/ Au欧姆接触的反射率增强
作者:
Chang Liann-Be
;
Shiue Ching-Chuan
;
Jeng Ming-Jer
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
85.
Thermal Stability of Pt and C-Doped NiSi Films
机译:
PT和C掺杂NISI薄膜的热稳定性
作者:
Machkaoutsan V.
;
Pages X.
;
Bauer M.
;
Thomas S.
;
Mertens S.
;
Verheyden K.
;
Vanormelingen K.
;
Granneman E.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
86.
Effect of Thermal Annealing on the Electrically Active Profiles and Surface Roughness in Multiple Al Implanted 4H-SiC
机译:
热退火对多Al植入4H-SIC中的电活性型材和表面粗糙度的影响
作者:
Giannazzo F.
;
Roccaforte F.
;
Raineri V.
;
Salinas D.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
87.
Pattern-Dependent Heating of 3D Structures
机译:
3D结构的图案依赖性加热
作者:
Granneman E.
;
Pages X.
;
Terhorst H.
;
Verheyden K.
;
Vanormelingen K.
;
Rosseel E.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
关键词:
annealing;
elemental semiconductors;
silicon;
Si;
Si;
conduction-based system;
pattern-dependent heating;
radiation heating;
size 150 nm to 4500 nm;
spike anneals;
temperature 10 degC to 45 degC;
temperature gradient;
Element;
88.
Strengths, Weaknesses, Opportunities and Threats of the Post-Silicon Technologies
机译:
后硅技术的优势,劣势,机遇和威胁
作者:
Coffa S.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
89.
Ultra-Shallow Dopant Diffusion from Pre-Deposited RPCVD Monolayers of Arsenic and Phosphorus
机译:
来自预沉积的RPCVD单层的砷和磷的超浅掺杂剂扩散
作者:
Popadic Milos
;
Nanver Lis K.
;
Scholtes T.L.M.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
90.
Widening of FUSI RTP Process Window by Spike Anneal
机译:
通过Spike退火扩展Fusi RTP流程窗口
作者:
Lauwers A.
;
Mertens S.
;
Absil P.
;
Chiarella T.
;
Hoffmann T.
;
Kubicek S.
;
de Marneffe J.F.
;
Brijs B.
;
Vrancken C.
;
Biesemans S.
;
Kittl J.
;
Verheyden K.
;
Vanormelingen K.
;
Granneman E.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
91.
Investigation of Excimer Laser Annealing of Si using Photoluminescence at Room Temperature
机译:
室温下光致发光的Si准分子激光退火研究
作者:
Bourdon H.
;
Halimaoui A.
;
Venturini J.
;
Gonzatti F.
;
Dutartre D.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
92.
Highly Active Junctions Formed in Crystalline Silicon by Infrared Laser Annealing
机译:
通过红外激光退火形成在晶体硅中形成的高度有源结
作者:
Mannino G.
;
La Magna A.
;
Privitera V.
;
Christensen J.S.
;
Vines L.
;
Svensson B.G.
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
关键词:
boron;
diffusion;
interstitials;
laser beam annealing;
rapid thermal annealing;
silicon;
B;
B;
Si;
Si;
boron interstitial;
clusters formation;
crystalline silicon;
diffusion length;
highly active junctions;
infrared laser annealing;
millisecond annea;
Element;
Element;
93.
Effect of Low Temperature Annealing Prior to Non-melt Laser Annealing in Ultra-shallow Junction Formation
机译:
低温退火在超浅浅接线形成中的非熔体激光退火效果
作者:
Fukaya Takumi
;
Yamada Ryuta
;
Tanaka Yuki
;
Matsumoto Satoru
;
Suzuki Toshiharu
;
Fuse Gensyu
;
Kudo Toshio
;
Sakuragi Susumu
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
94.
Excimer Laser Annealing of Ion-Implanted Silicon: Dopant Activation, Diffusion and Defect Formation
机译:
离子植入硅的准分子激光退火:掺杂剂活化,扩散和缺陷形成
作者:
Monakhov E.V.
;
Svensson B.G.
;
La Magna A.
;
Alippi P.
;
Italia M.
;
Privitera V.
;
Fortunato G.
;
Mariucci L.
;
Tumisto F.
;
Kuitunen K.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
95.
High-Activation Laser Anneal Process for the 45nm CMOS Technology Platform
机译:
45nm CMOS技术平台的高激光激光退火工艺
作者:
Bidaud M.
;
Bono H.
;
Chaton C.
;
Dumont B.
;
Huard V.
;
Morin P.
;
Proencamota L.
;
Ranica R.
;
Ribes G.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
96.
Bi-Directional Reflectivity of Surfaces with Anisotropic Roughness on the Wafer Backside
机译:
晶圆背面各向异性粗糙度的双向反射率
作者:
Hsu Pei-feng
;
Buchanan Robert R.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
97.
Temperature Dependent Reaction of Thin Ni-Silicide Transrotational Layers on 001Si
机译:
薄Ni-硅化物转块层对001 Si的温度依赖性反应
作者:
Alberti Alessandra
;
Bongiorno Corrado
;
Alippi Paola
;
La Magna Antonino
;
Spinella Corrado
;
Rimini Emanuele
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
98.
Impact of sub-melt laser annealing on Si
1-x
Ge
x
source /drain defectivity
机译:
Si
1-X / INM> GE
X / INM>源/漏极缺陷的副熔体激光退火的影响
作者:
Rosseel E.
;
Lu J.P.
;
Hikavyy A.
;
Verheyen P.
;
Hoffmann T.
;
Richard O.
;
Geypen J.
;
Bender H.
;
Loo R.
;
Absil P.
;
Intosh R. Mc
;
Felch S. B.
;
Schreutelkamp R.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
99.
New Tool and New Process for Ultra High Performance for Metal/High-K Gate Dielectric Stack for Sub-45 nm CMOS Manufacturing
机译:
用于金属/高k栅极介质堆栈的超高性能的新工具和新工艺,用于SUB-45 NM CMOS制造
作者:
Venkateshan A.
;
Singh R.
;
Poole K.F.
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
100.
Characterization of nitrided gate oxides under manufacturing conditions
机译:
制造条件下氮化栅氧化物的表征
作者:
Hayn Regina
;
Storbeck Olaf
;
RTP
会议名称:
《International Conference on Advanced Thermal Processing of Semiconductors》
|
2007年
意见反馈
回到顶部
回到首页