掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI
Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI
召开年:
召开地:
Stresa,Italy
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Thickness and Topography of Dielectric Dual-Sidewall Spacers on Metal Gate of DRAM Extracted by Spectroscopic Ellipsometry
机译:
椭圆偏振光谱法提取的DRAM金属栅极介电双侧壁间隔物的厚度和形貌
作者:
Jun-Wei Gong
;
Yeh-Chang Fang
;
Ta-Yung Wang
;
Jia-Rui Hu
;
Chung-I Chang
;
Tings Wang
;
Shih-Jung Lee
;
Opsal
;
J.
;
Nicolaides
;
L.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
DRAM chips;
electric properties;
nondestructive testing;
optical properties;
DRAM;
critical dimensions;
device design rule;
dielectric dual-sidewall spacers;
electrical properties;
gate width dimension shrinking;
metal gate;
nanometer-grade range;
nondestructive ins;
2.
Non-Contact SPV-based Method for Advanced Ion Implant Process Control
机译:
基于非接触式SPV的先进离子注入过程控制方法
作者:
Pennella
;
F.
;
Pianezza
;
P.
;
Tsidikovski
;
E.
;
Krzych
;
G.
;
Steeples
;
K.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
CMOS integrated circuits;
annealing;
ion implantation;
photovoltaic effects;
process control;
semiconductor device manufacture;
semiconductor device measurement;
voltage measurement;
QC Solutions ICT-300 system;
Si;
advanced ion implant process control;
annealed wa;
3.
Backside Detection of Photoresist Development Endpoint Using Surface Plasmon Resonance
机译:
使用表面等离振子的光致抗蚀剂显影终点的背面检测
作者:
Ryynanen
;
T.
;
Lekkala
;
J.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
photoresists;
surface plasmon resonance;
backside detection;
metallized glass wafer structure;
monolayer thickness;
photoresist development endpoint;
surface plasmon resonance;
Development;
Optical sensor;
Photolithography;
Photoresist;
Surface plasmon resonance (S;
4.
A 300mm Semiconductor Manufacturing Foreign Material Reduction Initiative
机译:
300mm半导体制造减少异物倡议
作者:
Long
;
C.W.
;
Sienkiewicz
;
T.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit reliability;
integrated circuit yield;
nanotechnology;
process control;
semiconductor device manufacture;
foreign material reduction initiative;
product yield enhancement;
semiconductor manufacturing;
size 300 nm;
tool defect controls;
Foreign m;
5.
SEM ADC (Auto Defect Classification): How it improves the Cost of Ownership without Risk of Yield Loss
机译:
SEM ADC(自动缺陷分类):如何在不降低产量的情况下提高拥有成本
作者:
Ho
;
B.
;
Inokuchi
;
M.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit yield;
semiconductor device manufacture;
SEM ADC;
auto defect classification;
cost of ownership;
optical resolution;
semiconductor manufacturing;
state-of-the-art technology;
yield enhancement field;
6.
Multivariate Statistical Control of Product Test Data and Yield
机译:
产品测试数据和产量的多元统计控制
作者:
Spitzlsperger
;
G.
;
Frick
;
M.
;
Leonardelli
;
G.
;
Rathei
;
D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
process monitoring;
semiconductor device manufacture;
statistical process control;
electrical test data;
manufacturing control;
multivariate statistical control;
multivariate test data monitoring;
multivariate yield control concept;
product test data;
semiconduct;
7.
The Application and Use of an Automated Spatial Pattern Recognition (SPR) System in the Identification and Solving of Yield Issues in Semiconductor Manufacturing
机译:
自动化空间模式识别(SPR)系统在半导体制造良率问题识别和解决中的应用和使用
作者:
Drozda-Freeman
;
A.
;
Mclntyre
;
M.
;
Retersdorf
;
M.
;
Wooten
;
C.
;
Xin Song
;
Hesse
;
A.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
pattern recognition;
semiconductor device manufacture;
AMD manufacturing;
Rudolph Technologies;
automated spatial pattern recognition;
problem identification;
semiconductor manufacturing;
test facilities;
wafers;
8.
A Methodology for Measuring, Reporting, Navigating, and Analyzing Overall Equipment Productivity (OEP)
机译:
一种测量,报告,浏览和分析整体设备生产率(OEP)的方法论
作者:
Chakravarthy
;
G.R.
;
Keller
;
P.N.
;
Wheeler
;
B.R.
;
Van Oss
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
data analysis;
integrated circuit manufacture;
productivity;
semiconductor device manufacture;
OEP analysis;
X-factor Contribution Box;
comprehensible visualization interface;
overall equipment productivity;
pivotal data analysis tool;
semiconductor industry;
Capa;
9.
Lowering Cost of Ownership through Predictive Maintenance
机译:
通过预测性维护降低拥有成本
作者:
Conley
;
C.
;
Mootz
;
D.D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
cost reduction;
maintenance engineering;
photolithography;
production equipment;
reliability;
cost-of-ownership;
maintenance time;
photolithography equipment;
predictive maintenance;
reliability centered maintenance;
unscheduled downtime;
10.
CMP Slurry Blending Process Optimization and Cost Improvements using Real-time Concentration Monitoring
机译:
使用实时浓度监控的CMP浆料共混工艺优化和成本降低
作者:
Aparece
;
C.D.
;
Wacinski
;
C.
;
Rajan
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
batch processing (industrial);
blending;
chemical mechanical polishing;
chemical sensors;
chemical variables measurement;
cost reduction;
industrial waste;
integrated circuit interconnections;
integrated circuit manufacture;
quality control;
slurries;
waste handlin;
11.
High Fidelity Simulation Models for Accurate Equipment Performance Prediction
机译:
高保真仿真模型,用于精确的设备性能预测
作者:
Yeoh Hooi Yeam
;
Ali
;
A.
;
Yeoh Ee Peng
;
Lam Wooi Fun
;
Mohideen
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
Hi-Fi equipment;
equipment evaluation;
semiconductor device models;
accurate equipment;
assembly;
dynamic equipment simulation;
high fidelity simulation;
integrated functions;
miniaturization;
performance prediction;
semiconductor devices;
test manufacturing;
12.
Balanced Machine Workload Dispatching Scheme for Wafer Fab
机译:
晶圆厂的平衡机器工作量分配方案
作者:
Myoungsoo Ham
;
Fowler
;
J.W.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
dispatching;
integrated circuit manufacture;
scheduling;
semiconductor technology;
J-machine look back;
K-machine look ahead;
balanced machine workload dispatching;
minimum inventory variability scheduling;
wafer fabrication;
Dispatching;
Fab;
Scheduling;
Workload;
13.
Qualification and Quantification of Speed Loss: Equipment Throughput Optimization by means of Speed Loss Analysis
机译:
速度损失的定性和量化:借助速度损失分析优化设备吞吐量
作者:
Foster
;
J.
;
Beaumont
;
P.L.
;
Akers
;
A.
;
Matthews
;
J.
;
Zarbock
;
T.
;
Yeo
;
D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
optimisation;
semiconductor device manufacture;
Etch AMAT toolset;
equipment diagnostic analysis;
optimization;
semiconductor industry;
speed loss;
14.
Novel Method to Characterize Post Laser Anneal Surface Condition for 45nm Process Technology Node
机译:
表征45nm工艺技术节点激光后退火表面状态的新方法。
作者:
Teng
;
W.-Y.
;
Yeh
;
J.-H.
;
Chen
;
P.
;
Radovanovic
;
S.
;
Chen
;
D.K.
;
Cheng
;
H.
;
Mahajan
;
U.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit technology;
laser beam annealing;
laser beams;
process monitoring;
IC technology;
LSA;
UV laser scattering technology;
defect monitoring techniques;
full-wafer surface monitoring;
laser spike annealing process;
process development;
process monito;
15.
Accelerated 65nm Yield Ramp through Optimization of Inspection on Process-Design Sensitive Test Chips
机译:
通过优化对工艺设计敏感的测试芯片的检查,加快了65nm的良率上升
作者:
Sang Chong
;
Rying
;
E.
;
Perry
;
A.
;
Lam
;
S.
;
St. Lawrence
;
M.A.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
electronic engineering computing;
failure analysis;
inspection;
integrated circuit design;
integrated circuit testing;
integrated circuit yield;
life testing;
CV- based inspection setup;
accelerated defect-based yield learning;
accelerated process development;
adv;
16.
A Cost Model Comparing the Economics of Reticle Requalification Methods in Advanced Wafer fabs
机译:
成本模型,比较先进晶圆厂的掩模版重新认证方法的经济性
作者:
Bhattacharyya
;
K.
;
Sutherland
;
D.
;
Hazari
;
V.
;
Mirzaagha
;
F.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
crystal defects;
crystal growth;
electronics industry;
industrial economics;
inspection;
integrated circuit manufacture;
lithography;
quality control;
reticles;
cost model comparison;
crystal growth;
direct mask inspection;
economics;
haze;
image qualification;
litho-c;
17.
Integrated Targeting, Near Real-Time Scheduling, and Dispatching with Automated Execution in Semiconductor Manufacturing
机译:
半导体制造中的集成目标,近实时调度和自动执行调度
作者:
Govind
;
N.
;
Bullock
;
E.W.
;
He
;
L.
;
Iyer
;
B.
;
Krishna
;
M.
;
Lockwood
;
C.S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
18.
Improvements to a Range Management System in an Automated Wafer Fabrication Fab
机译:
自动化晶圆制造Fab中距离管理系统的改进
作者:
Shikalgar
;
S.T.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
19.
An approach for simulating consistent global and local scheduling
机译:
模拟一致的全局和局部调度的方法
作者:
Bureau
;
M.
;
Dauzere-Peres
;
S.
;
Yugma
;
C.
;
Vermarien
;
L.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
Scheduling;
WIP management;
dispatching;
simulation;
20.
A dispatcher simulator for a photolithography workshop
机译:
光刻车间的调度程序模拟器
作者:
Yugma
;
C.
;
Riffart
;
R.
;
Dauzere-Peres
;
S.
;
Vialletelle
;
P.
;
Buttin
;
F.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
21.
Effectiveness of Small Batch Size on Cycle Time Reduction in a Conventional 300mm Factory
机译:
常规300mm工厂中小批量生产对减少周期时间的有效性
作者:
Babbs
;
D.
;
Gaskins
;
R.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
22.
Linear Semiconductor Manufacturing Logistics and the Impact on Cycle Time
机译:
线性半导体制造物流及其对周期时间的影响
作者:
Van Der Meulen
;
P.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
300mm Prime;
Cycle Time;
Small Lot;
23.
Improving Priority Lot Cycle Times
机译:
改善优先批次周期时间
作者:
Schmidt
;
K.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
24.
Low-Temperature Deposition of Silicon Dioxide and Silicon Nitride for Dual Spacer Application
机译:
用于双垫片应用的二氧化硅和氮化硅的低温沉积
作者:
Chatham
;
H.
;
Mogaard
;
M.
;
Treichel
;
H.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
nanotechnology;
plasma CVD;
silicon compounds;
Aviza Technology;
RF plasma;
SiO;
-SiN;
ammonia reactivity;
dual spacer layers;
low-temperature deposition;
precursor materials;
silicon dioxide deposition;
silicon nitride deposition;
single wafer chemical va;
25.
Managing Sunset Closure of a Semiconductor Technology parallel to aggressive new process Ramp
机译:
与激进的新工艺斜坡同时管理半导体技术的日落和关闭
作者:
Bouhnik
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
organisational aspects;
semiconductor device manufacture;
flash products;
logic devices;
process ramp;
semiconductor manufacturing;
sunset procedures;
Capacity planning;
Process Deramp;
Process ramp;
Technology sunset;
WIP management;
26.
A new dispatching rule for optimizing machine utilization at a semiconductor test field
机译:
在半导体测试领域优化机器利用率的新调度规则
作者:
Altendorfer
;
K.
;
Kabelka
;
B.
;
Stocher
;
W.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
dispatching;
job shop scheduling;
semiconductor device manufacture;
semiconductor device models;
semiconductor device testing;
dispatching rule;
logistic characteristic curves;
multiproduct multimachine job shops;
production system;
semiconductor test field;
work;
27.
Novel Thermally-Stable Hafnium and Zirconium ALD Precursors
机译:
新型热稳定Ha和锆ALD前体
作者:
Pinchart
;
A.
;
Blasco
;
N.
;
Lachaud
;
C.
;
Schleisman
;
A.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
MIM structures;
atomic layer deposition;
hafnium compounds;
high-k dielectric thin films;
organic compounds;
thermal stability;
zirconium compounds;
ALD precursors;
MIM structures;
atomic layer deposition;
dimethyl bis(ethylcyclopentadienyl) hafnium;
dimethyl bis(m;
28.
Novel, Sem-Based Method for Wafer Inspection Recipe Optimization
机译:
基于Sem的晶圆检测配方优化新方法
作者:
Stamper
;
A.
;
Sang Chong
;
Nafisi
;
K.
;
Feichtinger
;
P.
;
Wee Teck Chia
;
Randall
;
D.
;
Khullar
;
A.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
inspection;
integrated circuit testing;
integrated circuit yield;
iterative methods;
optimisation;
scanning electron microscopy;
IC fabrication;
IC production;
SEM-based method;
brightfield inspection;
cycle time reduction;
multiple iterations;
signal-to-noise ratio;
29.
Parallel Manufacturing Ramp of an SOI-based Microprocessor Chip
机译:
基于SOI的微处理器芯片的并行制造斜坡
作者:
Liehr
;
M.
;
Uram
;
K.J.
;
Leong
;
C.K.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit manufacture;
microprocessor chips;
silicon-on-insulator;
technology transfer;
SOI-based microprocessor chip;
parallel manufacturing ramp;
silicon-on-insulator;
size 90 nm;
technology transfer;
30.
Root Cause Analysis and Elimination of NPN E-B Leakage Yield Loss in a SiGe BiCMOS Technology
机译:
SiGe BiCMOS技术中产生NPN E-B漏电流的根本原因分析和消除
作者:
Raghavan
;
V.
;
Ng
;
B.
;
Singh
;
R.
;
Tan Boon Lay
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
BiCMOS integrated circuits;
Ge-Si alloys;
extrusion;
failure analysis;
integrated circuit yield;
mixed analogue-digital integrated circuits;
transmission electron microscopy;
tungsten;
NPN E-B leakage yield loss;
SiGe;
W;
band gap reference circuit malfunction;
cont;
31.
Single Wafer Management: A solution for 300mm Prime improved wafer storage quality
机译:
单一晶圆管理:300mm Prime的解决方案并提高晶圆存储质量
作者:
Zaugg
;
F.
;
Ritzmann
;
H.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit yield;
quality management;
ISMI-Sematech meeting;
overall cycle time reduction;
semiconductor industry;
single wafer management;
size 300 mm;
size 450 mm;
state-of-the-art fabs technology;
time 3 month to 4 month;
wafer storage quality;
32.
A Straightforward Approach to Introduce FDC-Methods for Wet-Process-Equipment
机译:
为湿法工艺设备引入FDC方法的简单方法
作者:
Lindner
;
F.
;
Fischer
;
P.
;
Macalka
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
batch processing (industrial);
fault diagnosis;
preventive maintenance;
process monitoring;
production equipment;
semiconductor device manufacture;
spraying;
FDC-methods;
Infineon Technologies;
fault classification;
fault detection;
preventive maintenance;
process m;
33.
Technology-Model-Product Parallel Design for High Performance and Rapid Time to Market 65nm Technology-Generation Microprocessors
机译:
技术模型产品并行设计,可实现高性能和快速上市的65nm技术生成微处理器
作者:
Logan
;
L.R.
;
Greene
;
B.J.
;
McStay
;
K.
;
Liang
;
Q.
;
Na
;
M.-H.
;
Nowak
;
E.
;
Ku
;
S.-H.
;
Friedrich
;
J.
;
Clougherty
;
F.
;
Dufrene
;
B.
;
Zamdmer
;
N.
;
Chidambarrao
;
D.
;
Williams
;
R.
;
McCullen
;
J.
;
Slisher
;
D.
;
Springer
;
S.
;
Crabbe
;
E.
;
Freeman
;
G.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
CMOS integrated circuits;
integrated circuit design;
microprocessor chips;
CMOS-technology development;
early product engineering;
full-volume manufacturing;
games processors;
microprocessor chips;
product design;
product parallel design;
size 65 nm;
34.
Correlation of metallic contamination with gate disturb failure mechanism on EEPROM cell: data analysis and process robustness improvement for contamination free manufacturing
机译:
EEPROM单元上金属污染与门极干扰失效机制的相关性:无污染制造的数据分析和工艺鲁棒性提高
作者:
Baltzinger
;
J.-L.
;
Delahaye
;
B.
;
Sanogo
;
M.
;
Richou
;
G.
;
Boissy
;
P.
;
Domart
;
F.
;
Delabriere
;
S.
;
Zinger
;
A.
;
Grolier
;
J.-L.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
contamination;
data analysis;
failure analysis;
flash memories;
EEPROM cell;
contamination free manufacturing;
data analysis;
failure analysis;
flash memories;
gate disturb failure mechanism;
intermittent gate disturb fallout;
metallic contamination;
process robustn;
35.
Impact of Mobile Charge on Matching Sensitivity in SOI Analog Circuits
机译:
移动电荷对SOI模拟电路中匹配灵敏度的影响
作者:
Connell
;
M.
;
Grady
;
M.
;
Oldiges
;
P.
;
Onsongo
;
D.
;
Passaro
;
M.
;
Rausch
;
W.
;
Ronsheim
;
P.
;
Siljenberg
;
D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
analogue circuits;
silicon-on-insulator;
SOI analog circuits;
fabrication processes;
matching sensitivity;
mobile charge;
silicon-on-insulator;
36.
Detection of a New Surface Killer Defect on Starting Si Material using Nomarski Principle of Differential Interference Contrast
机译:
利用微分干涉对比的Nomarski原理检测起始Si材料上新的表面杀手缺陷
作者:
Dennis
;
C.
;
Stanley
;
R.
;
Cui
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
epitaxial growth;
failure analysis;
fault diagnosis;
integrated circuit design;
integrated circuit testing;
integrated circuit yield;
silicon;
surface morphology;
KLA-Tencor EV300 SEMI;
Leica INS 3000;
Nomarski DIC inspection principles;
Si;
Surfscan SP1 TBI inspect;
37.
Enabling Effective Yield Learning through Actual DFM-Closure at the SoC Level
机译:
通过SoC级别的实际DFM封闭实现有效的收益学习
作者:
Appello
;
D.
;
Tancorre
;
V.
;
Green
;
G.
;
Hay
;
C.
;
Gizdarski
;
E.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
automatic test pattern generation;
combinational circuits;
design for manufacture;
fault diagnosis;
integrated circuit testing;
integrated circuit yield;
system-on-chip;
DFM;
SoC;
automated fault diagnostics;
automatic test pattern generation;
behavior effects;
circ;
38.
Identification of Process Window Limiting Structures by Design-Based Defect Binning
机译:
通过基于设计的缺陷合并识别过程窗口限制结构
作者:
Vasek
;
J.
;
Nehmadi
;
Y.
;
Svidenko
;
V.
;
Shimshi
;
R.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
data reduction;
inspection;
masks;
photolithography;
data reduction;
defect inspection;
defect locations;
design-based defect binning;
exposure-modulated wafer;
focus-modulated wafer;
marginally-printed structures;
misprinted structures;
photomask;
process window lim;
39.
Impact of Silicon Surface Roughness on Device Performance and Novel Roughness Measurement Method
机译:
硅表面粗糙度对器件性能的影响及新的粗糙度测量方法
作者:
Nemoto
;
K.
;
Watanabe
;
K.
;
Hayashi
;
T.
;
Tsugane
;
K.
;
Tamaki
;
Y.
;
Ota
;
H.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
atomic force microscopy;
carrier mobility;
correlation methods;
elemental semiconductors;
semiconductor device measurement;
silicon;
surface topography measurement;
atomic force microscope;
carrier mobility;
correlation analysis;
device performance;
roughness measu;
40.
Implementation of Polycrystalline X-Ray Diffraction for Semiconductor Metrology
机译:
半导体计量学中多晶X射线衍射的实现
作者:
DeHaven
;
P.W.
;
Jeanneret
;
M.
;
Gittleman
;
B.
;
Kozaczek
;
K.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
X-ray diffractometers;
semiconductor device measurement;
thin films;
X ray diffractometer;
polycrystalline thin films;
problem diagnostics;
process development;
quantitative structural data;
routine line monitor;
semiconductor metrology;
tool matching;
41.
Importance of Qualification Management for Wafer Fabs
机译:
晶圆厂资格管理的重要性
作者:
Johnzen
;
C.
;
Dauzere-Peres
;
S.
;
Vialletelle
;
P.
;
Yugma
;
C.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
costing;
fault diagnosis;
integrated circuit manufacture;
investment;
production facilities;
productivity;
wafer-scale integration;
fault detection frequency;
investment costs;
qualification management;
semiconductor manufacturing facilities;
wafer fab productivity;
42.
In Line Electricalmonitor of Plasma-Enhanced Silicon Oxynitirde for 70-Nm Node or Beyond
机译:
等离子体增强型氧氮化硅在线监测仪,用于70 Nm节点或更高
作者:
Shu-Hao Wu
;
Ives
;
P.
;
Chin-Ming Han
;
Chang
;
T.S.T.
;
Mi Jian
;
Xiafang Zhang
;
Fu
;
S.
;
Cheng
;
H.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
nitridation;
semiconductor technology;
silicon compounds;
Quantox XP;
SiON;
corona oxide silicon technology;
equivalent oxide;
gate leakage;
gate material characteristic;
in line electrical monitor;
optical thickness;
plasma-enhanced silicon oxynitride;
Corona based;
43.
Infrared Reflectometry For Metrology Of Trenches In Power Devices
机译:
功率器件沟槽计量的红外反射仪
作者:
Duran
;
C.A.
;
Maznev
;
A.A.
;
Merklin
;
G.T.
;
Mazurenko
;
A.
;
Gostein
;
M.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
power semiconductor devices;
process control;
reflectometry;
semiconductor device manufacture;
MBIR;
model-based infrared reflectometry;
power semiconductor device fabrication process;
process control;
silicon power devices;
trench metrology;
44.
Yield Aware Equipment Preventive Maintenance (PM) Optimization
机译:
收益感知设备预防性维护(PM)优化
作者:
Inani
;
A.
;
Kim
;
J.
;
Liao
;
M.
;
Shimazu
;
K.
;
Lin
;
Y.
;
Arthanari
;
S.
;
Stine
;
B.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
inspection;
preventive maintenance;
inline inspection;
preventive maintenance optimization;
yield aware equipment preventive maintenance;
yield aware optimization;
45.
Yield Modeling with Rule Ensembles
机译:
使用规则集合进行收益建模
作者:
Seni
;
G.
;
Yang
;
E.
;
Akar
;
S.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
data analysis;
decision trees;
integrated circuit yield;
decision trees;
regression analysis;
rule ensembles;
semiconductor manufacturing;
yield loss modeling;
Yield-loss characterization;
classification;
decision trees;
ensembles;
predictive learning;
regression;
46.
Yield Optimization through Statistical Analysis of Recipe Changes
机译:
通过配方变化的统计分析来优化产量
作者:
Adamov
;
A.
;
Bhagwat
;
V.
;
Morgan
;
R.
;
Lachinyan
;
G.
;
Muradian
;
D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
semiconductor device manufacture;
semiconductor technology;
statistical process control;
process control;
rapid yield learning;
semiconductor industry;
shrinking device sizes;
statistical analysis;
yield optimization;
47.
Immersion Lithography Ready for 45 nm Manufacturing and Beyond
机译:
浸没式光刻技术已准备好用于45 nm制造
作者:
Owa
;
S.
;
Nakano
;
K.
;
Nagasaka
;
H.
;
Fujiwara
;
T.
;
Matsuyama
;
T.
;
Ohmura
;
Y.
;
Magoona
;
H.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
immersion lithography;
integrated circuit manufacture;
Rayleigh criterion;
enhanced resolution capability;
immersion defectivity;
immersion fluids;
immersion lithography;
immersion scanners;
48.
Challenges in Reusing Lithography Equipment for Multiple Generations
机译:
多代重复使用光刻设备的挑战
作者:
Banisaukas
;
H.B.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
electronics industry;
lithography;
semiconductor device manufacture;
Intel;
internal business systems;
multi-generational lithography equipment;
supplier infrastructure;
asset management;
cost of ownership;
high-volume manufacturing;
lithography;
optical degradatio;
49.
Lateral Extended Drain Transistor Reliability Dependence on Lithography CD Variation
机译:
横向扩展漏极晶体管的可靠性取决于光刻CD的变化
作者:
Thomason
;
M.
;
Belisle
;
C.
;
Billman
;
C.A.
;
Williams
;
B.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
MOSFET;
lithography;
semiconductor device manufacture;
semiconductor device reliability;
LFNDMOS transistor;
device manufacturing;
high voltage transistors;
hot-carrier degradation;
lateral extended drain transistor reliability dependence;
lateral field n-type tr;
50.
Selective Oxide (SelOx) Deposition as Unique Gap-Fill Solution for Shallow Trench Isolation
机译:
选择性氧化物(SelOx)沉积是用于浅沟槽隔离的独特间隙填充解决方案
作者:
Lindemann
;
H.M.
;
Radecker
;
J.
;
Sperlich
;
H.-P.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
CMOS integrated circuits;
chemical vapour deposition;
isolation technology;
CMOS device fabrication;
SA-CVD;
boundary device conditions;
dielectric gap-fill applications;
selective oxide deposition;
shallow trench isolation;
subatmospheric-chemical-vapour-deposit;
51.
Copper Metallization for Power Devices
机译:
电力设备的铜金属化
作者:
Robl
;
W.
;
Melzl
;
M.
;
Weidgans
;
B.
;
Hofmann
;
R.
;
Stecher
;
M.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
copper;
electroplating;
power semiconductor devices;
semiconductor device metallisation;
copper metallization;
homogeneous thickness distribution;
metallization scheme;
plating process;
power devices;
thick copper wires;
52.
Cu Annealing Using Various Concentrations of Hydrogen in a Flexible Hot-Wall Thermal Processing Tool
机译:
在柔性热壁热加工工具中使用各种浓度的氢气进行铜退火
作者:
Ouaknine
;
M.
;
Ueda
;
T.
;
Fukada
;
T.
;
Malik
;
I.I.
;
Woo Sik Yoo
;
Guerrieri
;
S.
;
Marangon
;
T.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
annealing;
coating techniques;
copper;
hot working;
hydrogen;
integrated circuit interconnections;
wafer-scale integration;
Cu;
copper annealing;
electro-chemical deposition;
flexible hot-wall thermal processing tool;
hydrogen concentration;
wafer processing;
53.
Limitations of Analysis of Metal Impurities Analysis in High-k Film
机译:
高k膜中金属杂质分析的局限性
作者:
Ya-Ling Po
;
Lin
;
C.
;
Shian-Shio Chen
;
Tings Wang
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
X-ray emission spectra;
aluminium;
calcium;
chromium;
copper;
fluorescence;
hafnium;
hafnium compounds;
high-k dielectric thin films;
impurity absorption spectra;
iron;
mass spectra;
nickel;
sodium;
zinc;
HfO;
:Al;
HfO;
:Ca;
HfO;
:Cr;
HfO;
54.
Software reliability qualification for semi-conductor manufacturing systems
机译:
半导体制造系统的软件可靠性鉴定
作者:
de Jong
;
I.S.M.
;
Boumen
;
R.
;
van de Mortel-Fronczak
;
J.M.
;
Rooda
;
J.E.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
maintenance engineering;
manufacturing systems;
semiconductor device manufacture;
software reliability;
standards;
ASML;
SEMI-E10 standard;
reliability engineering;
semiconductor manufacturing systems;
software reliability qualification;
system level run productio;
55.
Yield Learning Methodology in Early Technology Development
机译:
早期技术开发中的收益学习方法
作者:
Xu Ouyang
;
Riggs
;
D.
;
Ahsan
;
I.
;
Patterson
;
O.D.
;
Lea
;
D.M.
;
Ebersman
;
B.
;
Hawkins
;
K.V.
;
Miller
;
K.
;
Fox
;
S.
;
Rice
;
J.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
SRAM chips;
integrated circuit modelling;
integrated circuit yield;
IBM;
SRAM cell;
edge defects;
innovative characterization methods;
parametric yield models;
size 45 nm;
systematic yield models;
technology development;
yield learning methodology;
yield loss mechan;
56.
Zero defect manufacturing as a challenge for advanced failure analysis
机译:
零缺陷制造是高级故障分析的挑战
作者:
Gabler
;
U.
;
Osterreicher
;
I.
;
Bosk
;
P.
;
Nowak
;
C.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
automobile industry;
automotive electronics;
failure analysis;
integrated circuit reliability;
integrated circuit testing;
production management;
quality control;
safety;
active quality learning;
advanced failure analysis;
automotive business;
car electronics funct;
57.
Reconstruction of the Failing Chips Per Wafer Distribution from Clustering Measurements
机译:
通过聚类测量重建每片晶圆分布不合格的芯片
作者:
ODonoghue
;
G.
;
Uribe
;
C.G.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit measurement;
integrated circuit testing;
integrated circuit yield;
average yield;
failing chip clustering;
failing chip reconstruction;
from clustering measurements;
manufacturing process;
theper wafer distribution;
NFC;
Number of Failing Chips;
58.
Product Yield Prediction System and Critical Area Database
机译:
产品产量预测系统和关键区域数据库
作者:
Barnett
;
T.S.
;
Bickford
;
J.
;
Weger
;
A.J.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
application specific integrated circuits;
closed loop systems;
database management systems;
engineering information systems;
fault diagnosis;
integrated circuit yield;
nanoelectronics;
production engineering computing;
ASIC products;
closed-loop system;
critical a;
59.
Smallest Bit-Line Contact of 76nm pitch on NAND Flash Cell by using Reversal PR (Photo Resist) and SADP (Self-Align Double Patterning) Process
机译:
通过使用反向PR(光刻胶)和SADP(自对准双图案)工艺在NAND闪存单元上实现76nm间距的最小位线接触
作者:
Byungjoon Hwang
;
Jaehwang Shim
;
Jang-Ho Park
;
Kwangseok Lee
;
Sunghyun Kwon
;
Sang-Yong Park
;
Yoonmoon Park
;
Dong-Hwa Kwak
;
Jaekwan Park
;
Won-Seong Lee
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
NAND circuits;
contact resistance;
flash memories;
leakage currents;
photoresists;
NAND flash cell;
active area force reduction;
contact-resistance minimization;
junction leakage current suppression;
reversal photoresist;
self-align double patterning process;
60.
Atomic Layer Deposition: An Enabling Technology for Microelectronic Device Manufacturing
机译:
原子层沉积:微电子器件制造的一项使能技术
作者:
Fourmun Lee
;
Marcus
;
S.
;
Shero
;
E.
;
Wilk
;
G.
;
Swerts
;
J.
;
Maes
;
J.W.
;
Blomberg
;
T.
;
Delabie
;
A.
;
Gros-Jean
;
M.
;
Deloffre
;
E.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
DRAM chips;
atomic layer deposition;
capacitors;
magnetic heads;
nanoelectronics;
semiconductor device manufacture;
thin film devices;
ALD processing;
DRAM stack capacitors;
atomic layer deposition technology;
electrical properties;
gate stack deposition;
mechanical;
61.
Metal Hardmask Etch Residue Removal For Advanced Copper / Low-k Devices
机译:
用于高级铜/低k器件的金属硬掩模蚀刻残留物去除
作者:
Hua Cui
;
Kirk
;
S.J.
;
Maloney
;
D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
copper;
integrated circuit interconnections;
low-k dielectric thin films;
plasma materials processing;
porous materials;
semiconductor device manufacture;
semiconductor device models;
semiconductor device reliability;
sputter etching;
titanium compounds;
Cu;
TiN;
ad;
62.
Nano-Scale Flash in the Mid-Decade
机译:
十年中期的纳米级闪存
作者:
James
;
D.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
NAND circuits;
flash memories;
nanoelectronics;
NAND flash devices;
chip processes;
flash-memory bits;
manufacturing processes;
memory cells;
multilevel cells;
nanoscale flash;
physical structures;
single-level cells;
structural analysis;
63.
Scheduling for Backend Manufacturing with SPTB Heuristic
机译:
使用SPTB启发式的后端制造调度
作者:
Mohile
;
M.G.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit manufacture;
scheduling;
SPTB heuristic;
backend manufacturing;
mixed-model flow shop scheduling problem;
semiconductor fabrication facility;
engineering;
manufacturing;
manufacturing planning;
manufacturing scheduling;
modeling;
optimization met;
64.
Adaptive Metrology Sampling techniques enabling higher precision in variability detection and control
机译:
自适应计量采样技术,可实现更高的变异性检测和控制精度
作者:
Mouli
;
C.
;
Scott
;
M.J.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
manufacturing systems;
measurement;
process control;
adaptive metrology sampling techniques;
advanced process control;
fab processing;
fault detection and classification;
manufacturing process;
mask generation;
product delivery-design;
statistical process control;
65.
Proximity correction of IC layouts using scanner fingerprints
机译:
使用扫描仪指纹对IC布局进行邻近校正
作者:
Cork
;
C.
;
Depre
;
L.
;
Tyminski
;
J.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
fingerprint identification;
image scanners;
integrated circuit layout;
proximity effect (lithography);
OPC calibration tests pattern;
integrated circuit layouts;
predictability;
proximity correction;
scanner fingerprints;
66.
Moving Carefully Towards Model-based Layout Optimization and Checking
机译:
认真进行基于模型的布局优化和检查
作者:
Hibbeler
;
J.D.
;
Maynard
;
D.N.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
VLSI;
integrated circuit layout;
integrated circuit modelling;
integrated circuit yield;
optimisation;
IBM;
VLSI layouts;
automated tools;
defect mechanisms;
layout-based yield-enhancement;
manufacturing process;
model-based layout optimization;
trade-off theory;
67.
Reducing Time-to-Respond in a Modern Manufacturing Environment
机译:
减少现代制造环境中的响应时间
作者:
Van Roijen
;
R.
;
Collins
;
C.
;
Ayala
;
J.
;
Barker
;
K.
;
Boiselle
;
H.
;
Catlett
;
S.
;
Dezfulian
;
K.
;
Logan
;
R.
;
Maxson
;
J.
;
Ramachandran
;
R.
;
Rawlins
;
B.
;
Ruegsegger
;
S.
;
Rust
;
T.
;
Shepard
;
J.
;
Singh
;
R.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
data mining;
process control;
semiconductor device manufacture;
semiconductor device testing;
data-mining;
manufacturing process control;
product testing;
semiconductor device manufacture;
time-to-respond;
300mm manufacturing;
Manufacturing automation;
Process cont;
68.
Novel Process Control by Measurement of Silicon Lattice Damage
机译:
通过测量硅晶格损伤的新型过程控制
作者:
Towner
;
J.M.
;
Lappan
;
R.E.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
elemental semiconductors;
materials testing;
rapid thermal processing;
silicon;
rapid thermal processing temperature;
reusable test wafer;
silicon lattice damage measurement;
statistical process control monitor;
test wafer cost reduction;
Ion Implantation;
RTP;
SPC;
69.
Embedded Mechanical Stress Sensors for Advanced Process Control
机译:
嵌入式机械应力传感器,用于高级过程控制
作者:
Kasbari
;
M.
;
Delamare
;
R.
;
Blayac
;
S.
;
Rivero
;
C.
;
Bostrom
;
O.
;
Fortunier
;
R.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
integrated circuit measurement;
integrated circuit yield;
intelligent sensors;
microsensors;
process control;
semiconductor technology;
stress measurement;
advanced process control;
electronic device degradation;
embedded mechanical stress sensor;
embedded stress;
70.
Effective Process Equipment Defect Control Methodology
机译:
有效的过程设备缺陷控制方法
作者:
Bousetta
;
A.
;
Cross
;
A.J.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
production equipment;
semiconductor device manufacture;
statistical process control;
defect monitoring;
design rules;
excursion control;
excursion identification;
process equipment defect control methodology;
statistical process control methods;
Excursion control;
71.
Test Structure and e-Beam Inspection Methodology for In-line Detection of (Non-visual) Missing Spacer Defects
机译:
在线检测(非视觉)缺失的垫片缺陷的测试结构和电子束检查方法
作者:
Patterson
;
O.D.
;
Wu
;
K.
;
Mocuta
;
D.
;
Nafisi
;
K.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
electron beam testing;
inspection;
silicon-on-insulator;
e-beam inspection;
in-line detection;
inspection tool;
missing spacer defects;
nonvisual detection;
semiconductor technology;
split experiment evaluation;
test structure;
Non-visual defects;
defect inspection;
72.
Novel Technique to Identify Systematic and Random Defects during 65 nm and 45nm Process Development for Faster Yield Learning
机译:
在65 nm和45nm工艺开发过程中识别系统和随机缺陷的新技术,可加快产量学习
作者:
Yeh
;
J.H.
;
Park
;
A.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
inspection;
integrated circuit testing;
integrated circuit yield;
sampling methods;
scanning electron microscopy;
SEM review;
STI cave defect;
advanced bright field inspection tools;
defect inspection technology;
defect sampling;
faster yield learning;
random defec;
73.
Backside Wafer Damage Induced Wafer Front Side Defect and Yield Impact
机译:
背面晶圆损坏引起的晶圆正面缺陷和良率影响
作者:
Neng-Cheng Wang
;
Hui-An Chang
;
Chung-I Chang
;
Wang
;
T.
会议名称:
《Advanced Semiconductor Manufacturing Conference, 2007 IEEE/SEMI》
|
2007年
关键词:
inspection;
integrated circuit yield;
surface cleaning;
wafer-scale integration;
backside wafer damage;
clean process;
wafer front side defect;
wafer yield loss;
Dark field inspection;
FIB;
SEM;
TEM;
backside inspection tool;
dual beam review station;
e-beam inspectio;
意见反馈
回到顶部
回到首页