掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
International symposium on photomask technology
International symposium on photomask technology
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
AIMS~(TM) and Resist Simulation
机译:
AIMS〜(TM)和抗蚀剂仿真
作者:
Ulrich StroBner
;
Holger Seitz
;
Robert Birkner
;
Rigo Richter
;
Thomas Scherubl
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
AIMS;
Hyper-NA imaging;
lithography simulation;
resist modeling;
mask qualification;
2.
Assist Feature aware Double Patterning Decomposition
机译:
协助特色意识到双重图案化分解
作者:
Christopher Cork
;
Levi Barnes
;
Gerard Luk-Pat
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
prominence;
contributor;
features;
3.
Nanomachining Photomask Repair of Complex Patterns
机译:
纳米机加工复杂图案的光掩模修复
作者:
Tod Robinson
;
RAVE LLC
;
Andrew Dinsdale
;
RAVE LLC
;
MikeArchuletta RAVE LLC
;
Ron Bozak RAVE LLC
;
Roy White
;
RAVE LLC
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
repairing larger defects;
critical patterns;
previously imagined;
4.
A novel approach to mask defect inspection
机译:
一种掩盖缺陷检查的新方法
作者:
Amir Sagiv
;
Yuri Shirman
;
Shmoolik Mangan
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Mask inspection;
defect detection;
sensitivity;
resolution;
5.
Mask Data Prioritization based on Design Intent
机译:
基于设计意图的掩码数据优先级
作者:
Kokoro Kato
;
Masakazu Endo
;
Tadao Inoue
;
Masaki Yamabe
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
manufacturing;
information;
transition;
6.
A New Paradigm for Haze Improvement:Retardation of Haze Occurrence by creating Mask Substrateinsensitive to Chemical Contamination Level
机译:
用于雾霾改善的新范式:通过将掩模底晶体形成对化学污染水平来产生雾度的延迟
作者:
Han-shin Lee
;
Jaehyuck Choi
;
Jin-sik Jung
;
Jong-keun Oh
;
Soo Jung Kang
;
Hae-young Jeong
;
Sang-Gyun Woo
;
HanKu Cho
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Haze retardation;
insensitive to contamination level;
deceleration of defect creation and growth;
freedom formask environment;
protective layer;
7.
Layout Driven DNIR
机译:
布局驱动dnir.
作者:
Kiyoshi Kageyama
;
Kohei Yanagisawa
;
Atsushi Kobayashi
;
Shinji Kunitani
;
Yoji Tonooka
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
MRC;
DNIR;
mask rule violations;
mask data inspection;
8.
Results from the KLA-Tencor TeraScanXR reticle inspection tool
机译:
KLA-Tencis Terascanxr掩盖检查工具的结果
作者:
Aditya Dayal
;
Bo Mu
;
Venkat Iyer
;
Phillip Lim
;
Arosha Goonesekera
;
Bill Broadbent
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
TeraScan;
photomask;
inspection;
defects;
Line Edge Roughness;
9.
Scanner-Specific Separable Models for Computational Lithography
机译:
用于计算光刻的特定扫描仪的可分离模型
作者:
Stefan Hunsche
;
Xu Xie
;
Qian Zhao
;
Hua-Yu Liu
;
Peter Nikolsky
;
Anthony Ngai
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
computational lithography;
separable model;
predictive model;
GRAIL;
OPC;
verification;
10.
Concurrent Optimization of MDP, Mask Writing, andMask Inspection for Mask Manufacturing Cost Reduction
机译:
MDP,掩模写入,ANDMASK检查的同时优化,用于掩模制造成本降低
作者:
Masaki Yamabe
;
Tadao Inoue
;
Masahiro Shoji
;
Hiroshi Yasuda
;
Hiromichi Hoshi
;
Masakazu Tokita
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
MDP;
mask writing;
mask inspection;
Mask Data Rank (MDR);
design intent;
character projection (CP);
multi column cell (MCC);
mask DFM;
11.
The study of EUVL mask defect inspection technology for 32-nm half-pitch node device and beyond
机译:
32nm半俯仰节点设备的EUVL掩模缺陷检测技术的研究
作者:
Hiroyuki Shigemura
;
Tsuyoshi Amano
;
Yasushi Nishiyama
;
Osamu Suga
;
Tsuneo Terasaw
;
Yukiyasu Arisawa
;
Hideaki Hashimoto
;
Norio Kameya
;
Masaya Takeda
;
Nobutaka Kikuiri
;
Ryoichi HIrano
;
Masatoshi Hirono
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUVL mask;
mask defect;
mask inspection;
199nm;
polarization;
hp-32nm node;
12.
Evaluation of E-Beam Repair for Nanoimprint Templates
机译:
纳米压印模板电子梁修复评价
作者:
Marcus Pritschow
;
Volker Boegli
;
Joerg Butschke
;
Mathias Irmscher
;
Douglas Resnick
;
Holger Sailer
;
Kosta Selinidis
;
Ecron Thompson
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Nanoimprint Lithography;
Template;
Repair;
13.
Combination of rule and pattern based lithography unfriendly patterndetection in OPC flow
机译:
opc流量的规则与模式光刻的组合
作者:
Jae-Hyun Kang
;
Jae-Young Choi
;
Yeon-Ah Shim
;
Hye-Sung Lee
;
Bo Su
;
Walter Chan
;
Ping Zhang
;
Joanne Wu
;
Keun-Young Kim
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Optical proximity correction (OPC);
hot spot detection;
defect pattern library;
pattern matching;
14.
Results of new mask contamination inspection capability STARlight2+ 72nm pixel with cell-to-cell HiRes5 for qualifying memory masks in wafer fabs
机译:
新型掩模污染检查能力星光2 + 72nm像素的结果,用于晶圆厂的鉴定内存面罩
作者:
Raj Badoni
;
Jinggang Zhu
;
Russell Dover
;
Norbert Schmidt
;
Michael Lang
;
Andreas Jahnke
;
Florian Uhlig
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Requalification;
Crystal Growth;
Haze;
Mask Inspection;
SL2+;
TeraScanHR;
P72;
15.
Defining a physically accurate laser bandwidth input foroptical proximity correction (OPC) and modeling
机译:
定义物理准确的激光带宽输入外光接近校正(OPC)和建模
作者:
Ivan Lalovic
;
Oleg Kritsun
;
Sarah McGowan
;
Joseph Bendik
;
Mark Smith
;
Nigel Farrar
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
excimer;
laser;
bandwidth;
optical;
lithography;
focus;
resolution;
chromatic;
aberrations;
proximity;
illumination;
Gaussian;
modified Lorentzian;
16.
Road to a zero degree total temperature range post exposure bakeprocess
机译:
零度总温度范围的道路暴露烘焙过程
作者:
Tobias Wahler
;
Peter Dress
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
post exposure bake;
PEB;
bake latitude;
resist;
multi-zone hotplate;
CD-compensation;
CD uniformity;
totaltemperature range;
sensor array;
17.
Practical Laser Mask Repair in the Contemporary ProductionEnvironment
机译:
现代生产环境中的实用激光面膜修复
作者:
Tod Robinson
;
RAVE LLC
;
Roy White
;
RAVE LLC
;
Mike Archuletta
;
RAVE LLC
;
Ron Bozak
;
RAVE LLC
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
patterns including;
sizes ranging;
unknownforeign material;
18.
Defect inspection of imprinted 32 nm half pitch patterns
机译:
缺陷检查印迹32 nm半间距图案
作者:
Kosta Selinidis
;
Ecron Thompson
;
Ian McMackin
;
Joseph Perez
;
S.V. Sreenivasan
;
Douglas J. Resnick
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
step and flash imprint lithography;
S-FIL;
imprint lithography;
template;
imprint mask;
electron beam;
electron beam inspection;
19.
Analysis of process margin in EUV mask repair with nano-machining
机译:
纳米加工钢芯修复过程边缘分析
作者:
Su-Young Lee
;
Geun-Bae Kim
;
Hong-Seok Sim
;
Sang-Hyeon Lee
;
Hwa-Sung Kim
;
Jung-Hwan Lee
;
Hwan-Seok Seo
;
Hak –Seung Han
;
Seong-Sue Kim
;
Seong-Yong Moon
;
Sang-Gyun Woo
;
Ron Bozak
;
Andrew Dinsdale
;
Tod Robinson
;
David Lee
;
HanKu Cho
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUV;
repair;
nano-machining;
simulation;
MET;
AIT;
printability;
20.
Convergence-based OPC method for dense simulations
机译:
基于收敛的opc致密模拟方法
作者:
Tamer Desouky
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Dense OPC;
Convergence;
OPC performance;
21.
Mask defect printability in the spacer patterning process
机译:
掩模在间隔图案化过程中缺陷可打印性
作者:
Seiro Miyoshi
;
Shinji Yamaguchi
;
Takashi Hirano
;
Hiromitsu Mashita
;
Hidefumi Mukai
;
Ayumi Kobiki
;
Yuuji Kobayashi
;
Kohji Hashimoto
;
Soichi Inoue
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Spacer patterning process;
mask defect printability;
LWR;
MEF;
acceptable mask defect size;
22.
evelopment of a 1.5D reference comparator for position and straightness metrology on photomasks
机译:
开发1.5D参考比较器在光掩模上的位置和直线度计量
作者:
J. Fliigge
;
R. Koning
;
Ch. Weichert
;
W. HaBler-Grohne
;
R. D. Geckeler
;
A. Wiegmann
;
M. Schulz
;
C. Elster
;
H. Bosse
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Registration metrology;
pattern placement;
CD metrology;
straightness metrology;
vacuum interferometry;
double patterning;
23.
A study of the Limited Area Scanning System in the Mask CD-SEM
机译:
掩模CD-SEM有限区域扫描系统的研究
作者:
Toshi Iwai
;
Soichi Shida
;
Mitsuo Hiroyama
;
Takayuki Nakamura
;
Hisaya Sakaguchi
;
Hiroki Ueno
;
Masaru Higuchi
;
Tatsuya Aihara
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
SEM;
Critical Dimension;
Charging;
Resist Slimming;
ROI;
24.
Pattern placement correction due to bending in EUVL masks
机译:
由于EUVL面具弯曲导致的图案放置校正
作者:
Seh-Jin Park
;
Manish Chandhok
;
Marilyn Kamna
;
Chuan Hu
;
Guojing Zhang
;
Fabian C Martinez
;
Nathan Wilcox
;
Kangmin Hsia
;
Alan R Stivers
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUVL;
Photomask;
Pattern Placement Error (PPE);
Bending Compensation;
Flatness;
Out-of-plane displacement (OPD);
In-plane displacement (IPD);
25.
Evaluating practical vs. theoretical inspection system capability with anew programmed defect test mask designed for 3X and 4X technologynodes
机译:
评估实用与理论检查系统功能,具有专为3x和4x技术节点设计的新的编程缺陷测试掩码
作者:
Joshua Glasser
;
Tim Pratt
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Inspection;
32nm node;
45nm node;
Complex Tri-tone;
Metal;
Poly;
Defect;
Logic;
DRAM;
Flash;
26.
mproving Cost of Ownership on KLA-Tencor Wafer FabReticle Inspections by Implementing Pixel Migration viaNew STARlight2+ Capability
机译:
通过实施像素迁移Vianew Starlight2 +能力,Mproving对KLA-Tencor晶片制造检查的所有权成本
作者:
Yung-Feng Cheng
;
Wei-Cyuan Lo
;
Ming-Jui Chen
;
Peter Huang
;
Chunlin Chen
;
Swapnajit Chakravarty
;
Russell Dover
;
Paul Yu
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
TeraFab;
Pixel Migration;
Mask Inspection;
SL2+;
TeraScanHR;
27.
Development status of back-end process for UV-NIL template fabrication
机译:
UV-NIL模板制造后端过程的开发状态
作者:
Yuichi Inazuki
;
Kimio Itoh
;
Sho Hatakeyama
;
Kouichirou Kojima
;
Masaaki Kurihara
;
Yasutaka Morikawa
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
template;
back-end process;
cleaning;
dicing and polishing;
protection layer;
28.
Evaluation of backside particle contamination and electrostatic chuckdesign on the cleanliness of EUV reticle mask blanks in a multilayerMo/Si ion beam deposition system
机译:
对背面颗粒污染和静电开孔的评估在多层/ Si离子束沉积系统中EUV掩模版掩模坯料清洁度
作者:
A.V. Hayes
;
R. Randive
;
I. Reiss
;
J. Menendez
;
P. Kearney
;
T. Sugiyama
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Electrostatic chuck;
particle;
defect;
backside;
contamination;
EUV;
29.
Improving contact and via process latitude through selective upsizing
机译:
通过选择性升级改善联系和通过过程纬度
作者:
C. Yuan
;
G. Abeln
;
B. Anthony
;
G. Chen
;
S. Robertson
;
P. Walker
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
lithography;
optical proximity correction;
reticle enhancement technology;
contact and via process window,contact and via selective upsize;
design for manufacturing;
redundant contacts;
redundant vias;
30.
World Wide Matching of Registration Metrology Tools of Various Generations
机译:
世界各地注册计量工具的全球范围
作者:
F. Laske
;
A. Pudnos
;
L. Mackey
;
P. Tran
;
M. Higuchi
;
C. Enkrich
;
K.-D. Roeth
;
K.-H. Schmidt
;
D. Adam
;
J. Bender
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Mask metrology;
registration metrology;
matching;
LMS IPRO;
pattern placement;
distance metrology;
31.
7Onm DRAM Intra-field CDU Improvement by Dose Modulation on Mask Transmittance
机译:
7OnM DRAM域内CDU通过剂量调制改善掩模透射率
作者:
Tomas Chin
;
Wen Bin Wu
;
Chiang Lin Shih
;
Pei Cheng Fan
;
Guy Ben Zvi
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
intra-field systematic CDU error;
CD calibration;
CD correction;
CDC ratio;
multi-field CD correlation;
32.
Accelerate OPC convergence with new iteration control methodology
机译:
通过新的迭代控制方法加速OPC融合
作者:
Ching-Heng Wang
;
Qingwei Lie
;
Liguo Zhang
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Optical Proximity Correction (OPC);
Resolution Enhancement Technique (RET);
iteration;
33.
Control of the sidewall angle of an absorber stack using the Faradaycage system for the change of pattern printability in EUVL
机译:
使用Faradaycage系统控制吸收器堆叠的侧壁角度,以改变EUVL的模式可打印性
作者:
Il-Yong Jang
;
Sung-Min Huh
;
Seong-Yong Moon
;
Sang-Gyun Woo
;
Jin-Kwan Lee
;
Sang Heup Moon
;
HanKu Cho
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Absorber;
Sidewall angle;
EUVL;
Faraday cage;
Oblique etching;
Shadow effect;
34.
Revisiting the Layout Decomposition Problem for DoublePatterning Lithography
机译:
重新探测双相拟模拟光刻的布局分解问题
作者:
Andrew B. Kahng
;
Chul-Hong Park
;
Xu Xu
;
Hailong Yao
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
splitting nodes;
assigned different;
needed design changes;
35.
Simulation analysis of backside defects printabilityin 193nm photolithography
机译:
背面缺陷的模拟分析193NM光刻胶法
作者:
Jisun Ryu
;
Dongwook Lee
;
Jinho Ryu
;
Sookyeong Jeong
;
Sangpyo Kim
;
Changyeol Kim
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
backside defects;
printability;
193nm;
36.
Novel mask inspection flow using Sensitivity Control Layers (SCL) onthe TeraScanHR-587 Platform
机译:
在Terascanhr-587平台上使用灵敏度控制层(SCL)的新型掩模检查流程
作者:
Shad Hedges
;
Shad Hedges
;
Mark Eickhoff
;
Mark Wylie
;
Tim Simmons
;
Venu Vellanki
;
Jeff McMurran
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Sensitivity Control Layer (SCL);
die-to-database;
reticle inspection;
photomask inspection;
37.
New method of 2-dimensional metrology using mask contouring
机译:
使用掩模轮廓的二维计量新方法
作者:
Ryoichi Matsuoka
;
Yoshikazu Yamagata
;
Akiyuki Sugiyama
;
Yasutaka Toyoda
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
algorithm;
stability;
accuracy;
38.
Study of influence to transistor characteristic on the change of OPC pattern
机译:
影响晶体管特性对OPC模式变化的影响研究
作者:
Kazuya Sugawa
;
Norimasa Nagase
;
Takahisa Itoh
;
Mitsuo Sakurai
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC;
RET;
Litho-Simulation;
SPICE;
Contour;
39.
The study of CD behavior due to transmission control position change within photomask substrate
机译:
光掩模衬底内传输控制位置变化引起的CD行为研究
作者:
Munsik Kim
;
Hyemi Lee
;
Sungha Woo
;
Kangjoon Seo
;
Yongkyoo Choi
;
Changyeol Kim
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
C;
Field CD uniformity;
SEM;
Depth;
CD correction;
40.
Study of Second-generation Proximity Gap Suction Development System(PGSD-I I) for mask fabrication
机译:
用于掩盖制造的第二代接近间隙吸入系统(PGSD-I I)的研究
作者:
Hideaki Sakurai
;
Masatoshi Terayama
;
Mari Sakai
;
Masamitsu Itoh
;
Osamu Ikenaga
;
Hideo Funakoshi
;
Norifumi Sato
;
Kenji Nakamizo
;
Masato Nomura
;
Yoshihiko Saito
;
Junji Nakao
;
Naoya Hayashi
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
proximity;
gap;
suction;
development;
dissolution monitor;
dissolution product;
loading effect;
uniformity;
nozzle;
multi-step development;
half-development;
PGSD;
IIH;
41.
Challenges for the quality control of assist features for 45nm nodetechnology and beyond
机译:
45nm Nodetechnology及以外的辅助特征质量控制的挑战
作者:
Lin Wang
;
Taichi Yamazaki
;
Yosuke Kojima
;
Wataru Nozaki
;
Takashi Haraguchi
;
Yoshimitsu Okuda
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC simulation;
assist feature;
45nm node;
193nm immersion lithography;
hard mask process;
AIMS;
SBprintability;
3-D impact;
42.
Benchmark of Rigorous Methodsfor Electromagnetic Field Simulations
机译:
电磁场模拟严格方法的基准
作者:
Sven Burger
;
Lin Zschiedrich
;
Frank Schmidt
;
Peter Evanschitzky
;
Andreas Erdmann
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
3D rigorous EMF simulations;
lithography simulations;
microlithography;
finite-element method;
waveguide method;
43.
Report of latent contamination factors inducing lithographic variation
机译:
诱导光刻变异的潜在污染因子报告
作者:
Jin Ho Ryu
;
Kang Joon Seo
;
Ji Sun Ryu
;
Chang Yeol Kim
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
ArF PSM lifetime;
Lithographic variation;
Haze;
Latent contamination;
Pellicle degradation;
44.
Focus Blur Model to Enhance Lithography Model for Optical Proximity Correction
机译:
焦点模型增强光学邻近校正光刻模型
作者:
Qiaolin (Charlie) Zhang
;
Hua Song
;
Kevin Lucas
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Focus blur;
optical proximity correction (OPC);
laser bandwidth distribution;
chromatic aberration;
vertical stage vibration;
MSDz;
45.
International photomask linewidth comparison by NIST and PTB
机译:
NIST和PTB的国际Photomask线宽比较
作者:
J. Potzick
;
R. Dixson
;
R. Quintanilha
;
M. Stocker
;
A. Vladar
;
E. Buhr
;
W. HaBler-Grohne
;
B. Bodermann
;
C. G. Frase
;
H. Bosse
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
linewidth;
CD metrology;
uncertainty components;
Nanol;
international comparison;
MRA;
photomask;
46.
Phase Shift Improvement in ArF/KrF Haze-free Mask Cleaning
机译:
ARF / KRF阴霾掩模清洁中的相移改进
作者:
Cathy Liu
;
Shirley Zhao
;
Eric Guo
;
Shinichi Hasegawa
;
Keiichi Nemoto
;
Tsuneaki Kuwajima
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
haze-free mask cleaning;
Ozonated-water;
222nm UV;
172nm UV;
phase shift;
ArF mask;
KrF mask;
MoSi, SPM;
47.
Wafer Plane Inspection with Soft Resist Thresholding
机译:
晶圆平面检查具有软抗蚀剂阈值
作者:
Carl Hess
;
Rui-fang Shi
;
Mark Wihl
;
Yalin Xiong
;
Song Pang
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Wafer Plane Inspection;
support arbitrary;
perform defect detection;
48.
mpirical study of OPC metrology requirements for 32-nm node logic
机译:
32-NM节点逻辑OPC计量要求的MPIRICE研究
作者:
Brian S. Ward
;
Lena Zavylova
;
Peter de Bisschop
;
Jeroen van de Kerkhove
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
calibration;
data;
metrology;
model;
OPC;
49.
Optimal Mask Characterization by Surrogate Wafer Print (SWaP)Method
机译:
代理晶圆打印(SWAP)方法的最佳掩模表征
作者:
Kurt R. Kimmel
;
Ingo Hoellein
;
Jan Hendrik Peters
;
Paul Ackmann
;
Brid Connolly
;
Craig West
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
wafer print inspection;
wafer level inspection;
mask wafer correlation;
indirectinspection;
50.
Mask Industry Assessment: 2008
机译:
面具行业评估:2008年
作者:
Greg Hughes
;
SEMATECH
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
51.
True Reticle cost saving by Multi Level Reticle approach
机译:
通过多级掩盖方法确保真正的掩皮节省
作者:
Thomas Struck
;
Hendrik Kirbach
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Multi Level Reticle (MLR);
cost saving;
reticle cost;
52.
Adaptive Automatic Fragmentation
机译:
自适应自动碎片
作者:
Mohamed Serag El-Din Habib
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
optical proximity correction;
OPC;
automatic fragmentation;
Aerial Image;
ripple.;
53.
Automated OPC Model Collection, Cleaning and Calibration Flow
机译:
自动化OPC模型集合,清洁和校准流程
作者:
Martin Drapeau
;
Brian S. Ward
;
Brad Falch
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC;
metrology;
model;
calibration;
RET;
CD-SEM;
design based metrology;
54.
PMJ Panel Discussion Overview: Mask Complexities, Cost, and CycleTime in 32-nm System LSI Generation: Conflict or Concurrent?
机译:
PMJ小组讨论概述:32-NM系统LSI生成中的掩码复杂性,成本和Cycletime:冲突或并发?
作者:
Kunihiro Hosono
;
Kokoro Kato
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
32nm;
SoC;
mask;
lithography;
complexity;
cost;
cycle time;
throughput;
mask design;
writer;
inspectio;
55.
Tunable Droplet Momentum and Cavitation Process for Damage-freeCleaning of Challenging Particles
机译:
可调谐液滴动力和空化过程,用于挑战粒子的损伤
作者:
Roman Gouk
;
James Papanu
;
Fred Li
;
Jason Jeon
;
Tong Liu
;
Rao Yalamanchili
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
cleaning;
damage-free;
SRAF;
photomasks;
cavitation;
mixed-fluid nozzle;
56.
Study of EUVL mask defect repair using FIB-GAE method
机译:
使用FIB-GAE方法研究EUVL掩模缺陷修复
作者:
Tsuyoshi Amano
;
Yasushi Nishiyama
;
Hiroyuki Shigemura
;
Tsuneo Terasawa
;
Osamu Suga
;
Kensuke Shiina
;
Fumio Aramaki
;
Ryoji Hagiwara
;
Anto Yasaka
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUV;
mask;
repair;
FIB;
gas assisted etching;
XeF_2;
SFET;
57.
Detection of Progressive Transmission Loss Due to Haze withGalileor~(TM)Mask DUV Transmittance Mapping Based on NonImaging Optics
机译:
基于非视光学的雾度〜(TM)掩模DUV透射率映射引起的逐行传输损失检测
作者:
Steven Labovitz
;
Guy Ben-Zvi
;
Vladimir Dmitriev
;
Erez Graitzer
;
Eitan Zait
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Transmission;
DUV;
193nm;
Haze;
Electric-field induced migration (EFM);
Photomask;
Non imagingoptics;
Dose;
CDU;
58.
Auto-classification and simulation of mask defectsusing SEM and CAD images
机译:
屏蔽缺陷SEM和CAD图像的自动分类和仿真
作者:
Tung-Yaw Kang
;
Hsin-Chang Lee
;
H. Zhang
;
K. Yamada
;
Y. Kitayama
;
K. Kobayashi
;
Holon Co. Ltd.
;
Peter Fiekowsky
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
defect;
mask inspection;
Scanning Electron Microscope (SEM);
ADAS;
CAD image;
Defect Imaging System(DIS);
59.
Impact of the OMOG Substrate on 32 nm Mask OPC Inspectability,Defect Sensitivity and Mask Design Rule Restrictions
机译:
OMOG基板对32nm掩模OPC检查性,缺陷灵敏度和掩模设计规则限制的影响
作者:
Karen Badger
;
Yutaka Kodera
;
Emily Gallagher
;
Mark Lawliss
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Mask Inspection;
OPC;
MRC;
attenuator;
AIMS;
60.
Lithography and Design in Partnership: A New Roadmap
机译:
合作伙伴关系和设计:新路线图
作者:
Andrew B. Kahng
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
discuss how design;
intrinsic barriers;
technology roadmapping;
61.
UV NIL template making and imprint evaluation
机译:
UV零模板制作和版本记录评估
作者:
Shiho Sasaki
;
Takaaki Hiraka
;
Jun Mizuochi
;
Akiko Fujii
;
Yuko Sakai
;
Takanori Sutou
;
Satoshi Yusa
;
Koki Kuriyama
;
Masashi Sakaki
;
Yasutaka Morikawa
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
UV NIL;
template;
EB writing;
photomask;
62.
Optimizing Models Based OPC Fragmentation using GeneticAlgorithms
机译:
基于基于模型的基于模型使用基因丙酸酯
作者:
Domenico A. Dipaola
;
Ian Stobert
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC;
Fragmentation;
Genetic Algorithms;
63.
Extracting Mask Error Function from Intensity Slices
机译:
从强度切片中提取掩模错误功能
作者:
David Ziger
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Mask error enhancement factor MEEF;
64.
Characterization of Binary and Attenuated Phase Shift Mask Blanksfor 32nm Mask Fabrication
机译:
二元和衰减相移掩模坯料的表征32nm掩模制造
作者:
Thomas Faure
;
Emily Gallagher
;
Michael Hibbs
;
Louis Kindt
;
Ken Racette
;
Richard Wistrom
;
Amy Zweber
;
Alfred Wagner
;
Yasutaka Kikuchi
;
Toru Komizo
;
Satoru Nemoto
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OMOG;
binary photomask;
mask blank;
32 nm masks;
65.
Improvement in metrology on new 3D-AFM platform
机译:
新型3D-AFM平台测量学的改进
作者:
Ingo Schmitz
;
Marc Osborn
;
Sean Hand
;
Qi Chen
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
eference metrology;
measurement uncertainty;
critical dimension;
3D-AFM;
photo mask;
defect characterization;
Nanoimprint;
66.
Technology Interactions on Reticle Delivery
机译:
技术交付的技术互动
作者:
Paul Ackmann
;
Scott Goad
;
Craig West
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
mask data volume;
pattern file size;
RET;
OPC;
write time;
data density;
geometric content;
67.
Advanced process capabilities for electron beam based photomaskrepair in a production environment
机译:
基于电子束的基于电子束的Photomaskrepair在生产环境中的先进过程功能
作者:
Anthony Garetto
;
Christof Baur
;
Jens Oster
;
Markus Waiblinger
;
Klaus Edinger
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
mask repair;
repair tool;
MeRiT~?;
electron beam;
Carl Zeiss;
PSM deposition;
68.
Comparative scatterometric CD measurements on a MoSi photo mask using different metrology tools
机译:
使用不同的计量工具的MOSI照片掩模上的比较散射CD测量
作者:
Jan Richter
;
Jens Rudolf
;
Bernd Bodermann
;
John C. Lam
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Scatterometry;
Ellipsometry;
Reflectometry;
Diffractometry;
CD;
pitch;
edge profile;
polarisation;
inverse diffraction problem;
at-wavelength metrology;
69.
Benchmarking EUV mask inspection beyond 0.25 NA
机译:
基准测试EUV面罩检验超过0.25 NA
作者:
Kenneth A. Goldberg
;
Iacopo Mochi
;
Patrick P. Naulleau
;
Hakseung Hang
;
Sungmin Huh
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
extreme ultraviolet lithography;
EUV;
mask inspection;
zoneplate;
actinic inspection;
linewidth;
contrast.;
70.
High Accuracy Jog CD Control on OPC Pattern by Advanced Laser Writer Sigma7500
机译:
高精度Jog CD控制OPC模式通过高级激光作家Sigma7500
作者:
Tomas Chin
;
Wen-Bin Wu
;
Chiang-Lin Shih
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
raster-scan laser writer;
electron beam writer;
OPC job pattern;
critical dimension;
partial coherent light;
spatial light modulation;
71.
Chemical durability studies of Ru-capped EUV mask blanks
机译:
Ru-Lappe EUV掩模空白的化学耐久性研究
作者:
Takeya Shimomura
;
Ted Liang
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUV lithography;
Cleaning;
Defect-free mask;
Ozonated water;
72.
Photomask Registration and Overlay Metrology by means of 193 nm Optics
机译:
通过193 nm光学器件,光掩模注册和覆盖计量
作者:
Gerd Klose
;
Norbert Kerwien
;
Michael Arnz
;
Dirk Beyer
;
Norbert Rosenkranz
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
registration metrology;
pattern placement;
optical design;
resolution;
imaging performance;
73.
Sizing algorithm with continuous customizable clipping
机译:
具有连续可自定义剪裁的大小尺寸算法
作者:
Domingo Morales
;
Felipe Baytelman
;
Hugo Araya
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Sizing;
Mitered Offsetting;
Fracture;
Mask Data Preparation (MDP);
74.
SL2+, H5 Use Case
机译:
SL2 +,H5用例
作者:
Kosuke Ito
;
Steven Liu
;
Isaac Lee
;
Russell Dover
;
Paul Yu
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Haze;
Mask Inspection;
SL2+;
TerascanHR;
H5;
75.
Development status of EUVL mask blanks
机译:
EUVL面具空白的开发状态
作者:
Kazuaki Shiromo
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
extreme ultraviolet lithography;
full-stack mask blank;
76.
fects of Photo Resist Erosion in Development on Critical DimensionPerformance for 45nm Node and below
机译:
45NM节点及以下临界维度折衷折衷侵蚀的照片
作者:
Guen-Ho Hwang
;
Dong-Hyun Kim
;
Chu-bong Yu
;
Byeng-Sun Kang
;
Ik-Boum Hur
;
Cheol Shin
;
Sung-Mo Jung
;
Sang-Soo Choi
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Photo resist erosion;
CD linearity;
Blur before dry etching;
Gaussian blur model;
77.
AIMS-45 image validation of contact hole patternsafter inverse lithography at NA 1.35
机译:
AIMS-45 Na 1.35在Na 1.35的接触孔图案中的图像验证逆光刻
作者:
E. Hendrickx
;
R. Birkner
;
M. Kempsell
;
A. Tritchkov
;
G. Vandenberghe
;
T. Scheruebl
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Inverse lithography;
hyper-NA;
maskqualification;
contact hole layer;
78.
Results obtained with the CHARPAN Engineering Tooland prospects of the ion Mask Exposure Tool (iMET)
机译:
用Charpan工程工具和离子面膜曝光工具的前景获得的结果(IMET)
作者:
Elmar Platzgummer
;
Hans Loeschner
;
Gerhard Gross
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
mask pattern generator;
ion multi-beam exposure;
nanopatterning;
programmable aperture plate system;
79.
Ga implantation and interlayer mixing during FIB repair of EUV mask defects
机译:
GA植入和中间层混合在FIB修复EUV掩模缺陷期间
作者:
Yasushi Nishiyama
;
Tsuyoshi Amano
;
Hiroyuki Shigemura
;
Tsuneo Terasawa
;
Osamu Suga
;
Tomokazu Kozakai
;
Fumio Aramaki
;
Kensuke Shiina
;
Anto Yasaka
;
Ryoji Hagiwara
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUV;
mask;
defects;
repair;
FIB;
damage;
80.
High Resolution Inspection withWafer Plane Die:Database Defect Detection
机译:
具有Wafer平面模具的高分辨率检查:数据库缺陷检测
作者:
Carl Hess
;
Mark Wihl
;
Rui-fang Shi
;
Yalin Xiong
;
Song Pang
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
enabled detection;
non-lithographically-significant;
Wafer Plane Inspection;
81.
Enhancing OPC Model Stability and Predictability Using SEM Image Contours
机译:
使用SEM图像轮廓提高OPC模型稳定性和可预测性
作者:
Mohamed Serag El-Din Habib
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
models;
schemes;
combinations;
82.
Flexible Sensitivity Inspection with TK-CMI Software forCriticality-Awareness
机译:
具有TK-CMI软件的灵活灵敏度检测,致批判性意识
作者:
Frank A. J. M. Driessen
;
Jamila Gunawardena
;
Yasuko Saito
;
Hideo Tsuchiya
;
Yoshitake Tsuji
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
flexible mask inspection;
flexible mask specification;
pseudo defect;
reticle manufacturing;
DFM;
criticality awareness;
OASIS;
GDS;
83.
OPC hotspot identification challenges: ORC vs. PWQ on wafer
机译:
OPC Hotspot识别挑战:晶圆上的ORC与PWQ
作者:
Andre Poock
;
Sarah McGowan
;
Francois Weisbuch
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC verification;
hotspots;
process window qualification;
optical rule check;
defectinspection on wafer;
84.
Enhanced DCT2-based Inverse Mask Synthesis with Initial SRAF Insertion
机译:
使用初始SRAF插入增强基于DCT2的逆掩模合成
作者:
Shanhu Shen
;
Peng Yu
;
David Z. Pan
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Inverse mask synthesis;
Design for Manufacturing(DFM);
SRAF;
OPC;
85.
E-beam exposure system using multi column cell (MCC)with CP for mask writing
机译:
E-Beam曝光系统使用多列单元(MCC)与CP用于掩模写入
作者:
Akio Yamada
;
Hiroshi Yasuda
;
Masaki Yamabe
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Electron beam exposure system;
multi column cell;
character projection;
mask writing;
86.
EUVL practical mask structure with light shield area for 32nm half pitch and beyond
机译:
EUVL实用面膜结构,带遮光面积为32nm半间距及更远
作者:
Takashi Kamo
;
Hajime Aoyama
;
Toshihiko Tanaka
;
Osamu Suga
;
Tsukasa Abe
;
Tadahiko Takikawa
;
Naoya Hayashi
;
Tsutomu Shoki
;
Youichi Usui
;
Morio Hosoya
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
EUV lithography;
mask;
absorber;
shadowing effect;
reflectivity;
light shield area;
87.
Impact of MegaSonic process conditions on PRE and Sub-resolutionassist feature damagea
机译:
Megasonic工艺条件对预分辨率辅助功能损坏的影响
作者:
Stefan Helbig
;
Sabine Urban
;
Elizabeth Klein
;
Sherjang Singh
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Mask Cleaning;
MegaSonic;
Pattern Damage;
Cavitation;
Sub resolution assist features;
88.
Spectroscopic Ellipsometry Applications in Photomask Technology
机译:
光掩模技术中的光谱椭圆形应用
作者:
Ron A. Synowicki
;
James N. Hilfiker
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Ellipsometry;
photomask;
lithography;
film thickness;
refractive index;
optical properties;
imprint templates;
MoSi;
NTAR;
in-situ ellipsometry.;
89.
Considering MEEF in Inverse Lithography Technology (ILT) andSource Mask Optimization (SMO)
机译:
考虑逆光刻技术(ILT)和源掩码优化(SMO)中的MEEF
作者:
Linyong Pang
;
Guangming Xiao
;
Vikram Tolani
;
Peter Hu
;
Tom Cecil
;
Thuc Dam
;
Ki-Ho Baik
;
BobGleason
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
MEEF;
Inverse Lithography Technology;
ILT;
Source Mask Optimization;
SMO;
RET;
SRAF;
90.
DIAMONDS IN THE ROUGH Key Performance Indicators for Reticles and Design Sets
机译:
钻石在粗略的关键性能指标中的粗略关键性能指标
作者:
Paul Ackmann
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
questions;
factors;
slogans;
91.
Advanced Mask Technique to Improve Bit Line CD Uniformity of90 nm node Flash Memory in Low-K1 Lithography
机译:
高级掩模技术提高低k1光刻中的90 nm节点闪存的位线CD均匀性
作者:
Jong-doo Kim
;
Jae-young Choi
;
Jea-hee Kim
;
Jae-won Han
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Low-K1 patterning;
Bit line;
CD uniformity;
Three tone OPC;
three tone mask;
92.
Process Control of Chrome Dry Etching by Complete Characterizationof the RF Power Delivery
机译:
通过RF电力输送完成表征铬干蚀刻的过程控制
作者:
Bjorn Sass
;
Ralf Schubert
;
Thomas Jakubski
;
Sebastian Mauermann
;
Pavel Nesladek
;
AndreasWiswesser
;
Karl-Heinz Gindra
;
Ray Malone
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
dry-etching;
process control;
RF sensor;
V-I probe;
impedance;
93.
32nm design rule and process exploration flow
机译:
32nm设计规则和过程探索流程
作者:
Yunqiang Zhang
;
Jonathan Cobb
;
Amy Yang
;
Ji Li
;
Kevin Lucas
;
Satyendra Sethi
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
teststructures;
development;
methodology;
94.
Novel CD measurement and precise pattern size extraction method for optical images
机译:
用于光学图像的新型CD测量和精确的图案尺寸提取方法
作者:
Lev Faivishevsky
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
mask inspection;
aerial imaging.;
95.
Fast and simple modeling of non-rectangular transistors
机译:
非矩形晶体管的快速和简单建模
作者:
Jen-Yi Wuu
;
Fedor G. Pikus
;
Malgorzata Marek-Sadowska
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
Non-Rectangular Gate Device;
Equivalent Device;
Modeling;
96.
DFM Viewpoints of Cell-level Layout Assessments and Indications forConcurrent Layout Optimization
机译:
DFM对细胞级布局评估的观点和指示forcorprent布局优化
作者:
Chung-Min Fu
;
Ping-Heng Yeh
;
Yi-Kan Cheng
;
Simon Klaver
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
DFM scoring;
layout assessment;
GATE printability;
and concurrent optimization;
97.
Fast and Accurate Subgrid and Subcell Finite-DifferenceTime-Domain Methods for the Simulation of Mask ElectromagneticEffects in Sub-45nm Lithography
机译:
快速准确的子区和子单元有限差异 - 域 - 45nm光刻中掩模电磁缺口的模拟
作者:
Michael S. Yeung
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
lithography simulation;
phase-shifting mask;
finite-difference time-domain method;
subgrid FDTD;
subcell FDTD;
98.
Exploring New Metrology for Complex Photomask Patterns
机译:
探索复杂光掩模模式的新计量
作者:
Masaru Higuchi
;
Tatsuya Aihara
;
Hideaki Saito
;
Isao Yonekura
;
Jotaro Suzuki
;
Emily Gallagher
;
Ian P. Stobert
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC;
photomask metrology;
image placement;
CD metrology;
Center of Gravity;
99.
An effective haze monitoring method
机译:
有效的阴霾监测方法
作者:
Shih-Ping Lu
;
Shean-Hwan Chiou
;
Wen-Jui Tseng
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
mask inspection;
haze inspection;
throughput;
sensitivity;
defect;
100.
Toward Faster OPC Convergence: Advanced Analysis for OPC Iterations and Simulation Environment
机译:
朝向更快的OPC融合:OPC迭代和仿真环境的高级分析
作者:
Mohamed Bahnas
;
Mohamed Al-Imam
;
Tamer Tawfik
会议名称:
《International symposium on photomask technology》
|
2008年
关键词:
OPC;
sparse simulation;
intensity curve.;
意见反馈
回到顶部
回到首页