掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Quality of Electronic Design Quality of Electronic Design
Quality of Electronic Design Quality of Electronic Design
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Process variation impact on FPGA configuration memory
机译:
处理变化对FPGA配置内存的影响
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Configuration Memory;
FPGA;
Process Variation;
2.
A 1.2 volt, 90nm, 16-bit three way segmented digital to analog converter (DAC) for low power applications
机译:
1.2伏,90nm,16位三路分段数字到模拟转换器(DAC),用于低功耗应用
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
16-Bit DAC;
Low Power;
Segmentation;
3.
Validating physical access layer of WiMAX using SystemVerilog
机译:
使用SystemVerilog验证WiMAX的物理访问层
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Constrained Random;
OFDM;
OFDMA;
PHY;
SystemVerilog;
Verification;
WiMAX;
4.
Effect of NDD dosage on hot-carrier reliability in DMOS transistors
机译:
NDD剂量对DMOS晶体管热载波可靠性的影响
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
DMOS;
hot-carrier;
reliability;
5.
Efficient diagnosis algorithms for drowsy SRAMs
机译:
昏昏欲睡的高效诊断算法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
6.
IP protection platform based on watermarking technique
机译:
基于水印技术的IP保护平台
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
IP;
Watermarking;
layout;
netlist;
protection;
7.
A geometric approach to register transfer level satisfiability
机译:
注册转移水平可满足性的几何方法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Register transfer level (RTL);
cutting planes;
design verification;
linear programming;
satisfiability (SAT);
8.
An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition
机译:
一种有效的交错相阻尼技术,用于抑制模式转换期间的功率门控谐振噪声
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Mode transition;
power-gating;
resonance noise damping;
signal integrity;
9.
Comparison of supply noise and substrate noise reduction in SiGe BiCMOS and FDSOI processes
机译:
SiGe BICMOS和FDSOI过程中供电噪声和基板降噪的比较
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SOI;
SiGe BiCMOS;
noise reduction;
substrate noise;
substrate noise comparison;
10.
An enhanced topology for reliability of a high performance 3.3V I/O buffer in a single-well bulk CMOS 1.8v-oxide low voltage process
机译:
用于高性能3.3V I / O缓冲器的可靠性增强拓扑,在单井批量CMOS 1.8V-氧化物低压过程中的高性能3.3V I / O缓冲器
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Gate-oxide integrity;
High voltage (3.3V) design;
NBTI;
differential amplifier;
reliability;
11.
Impact of SoC power management techniques on verification and testing
机译:
SoC电源管理技术对验证和测试的影响
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Body Bias;
DVFS;
Isolation;
Low Power;
Power Connectivity;
Power Control;
Power Gating;
Power Management;
Samp;
RPG;
SRPG;
State Retention;
Threshold Voltage;
Voltage Regulator Module;
Voltage Scaling;
12.
50GB/s signaling on organic substrates using PMTL technology
机译:
使用PMTL技术的有机基材上的50gB / s信号传导
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
PCIE;
PMTL;
SATA;
SER-DES;
SSO;
Xaui;
analog;
ceramic;
electromagnetic;
eye diagram;
fullwave;
interconnect;
jitter;
microwave;
mixed-signal;
mm-wave;
organic;
package;
resonance;
signal integrity;
silicon;
stripline;
substrate;
13.
A case study on system-level modeling by aspect-oriented programming
机译:
面向方面编程系统级模型的案例研究
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
AOP;
System-Level Modeling;
SystemC;
14.
Improving the accuracy of rule-based equivalence checking of system-level design descriptions by identifying potential internal equivalences
机译:
通过识别潜在的内部等效性,提高基于规则的等效检查的准确性。
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
System-level design;
formal verification;
internal equivalences;
random simulation;
15.
Active decap design considerations for optimal supply noise reduction
机译:
用于最佳供电降噪的主动凹陷设计考虑因素
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
MOS integrated circuits;
decoupling capacitors;
power supply noise;
16.
An abstraction mechanism to maximize stimulus portability across RTL, FPGA, software models and silicon of SoCs
机译:
一种抽象机制,可以通过RTL,FPGA,软件模型和SOC硅的刺激可移植性最大化
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SoC;
emulation;
silicon validation;
simulation;
17.
ESD event simulation automation using automatic extraction of the relevant portion of a full chip
机译:
ESD事件仿真自动化使用全芯片的相关部分的自动提取
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
CAD tool;
circuit simulation;
electrostatic discharge;
net list analysis;
simulation flow;
18.
Statistical yield analysis of silicon-on-insulator embedded DRAM
机译:
绝缘体嵌入式DRAM的统计产量分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
19.
Efficient statistical analysis of read timing failures in SRAM circuits
机译:
SRAM电路中读取时序故障的高效统计分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SRAM;
failure analysis;
response surface modeling;
20.
Calculation of stress probability for NBTI-aware timing analysis
机译:
计算NBTI感知时序分析的应力概率
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
21.
A new low power test pattern generator using a variable-length ring counter
机译:
一种新的低功耗测试模式发生器,使用可变长度环计数器
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Built-in Self-Test (BIST);
test pattern generator;
variable-length ring counter;
22.
Increasing memory yield in future technologies through innovative design
机译:
通过创新设计提高未来技术中的记忆产量
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Memory;
design;
future technologies;
yield;
23.
A Simulation-based strategy used in electrical design for reliability
机译:
用于可靠性电气设计的基于仿真的策略
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Simulation;
design for reliability;
modeling;
24.
Power aware placement for FPGAs with dual supply voltages
机译:
具有双电源电压的FPGA的动力感知放置
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
FPGA;
Physical design;
low power;
placement;
25.
The design of a low-power high-speed current comparator in 0.35-??m CMOS technology
机译:
低功耗高速电流比较器的设计在0.35 - ?? M CMOS技术
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Current Comparator;
Instantaneous Power;
Positive Feedback;
Propagation Delay;
Signal Processing;
26.
Analysis of performance and reliability trade-off in dummy pattern design for 32-nm technology
机译:
32纳米技术虚拟图案设计性能和可靠性折衷分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Mechanical stress;
design for manufacturability;
interconnect parasitic parameters;
layout effects;
27.
Post-Silicon Clock-nvert (PSCI) for reducing process-variation induced skew in buffered clock networks
机译:
用于减少过程变化的后硅时钟 - 锐(PSCI)在缓冲时钟网络中引起偏移
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Buffered networks;
clock skew;
post-silicon activity;
process variation;
28.
Standby power reduction and SRAM cell optimization for 65nm technology
机译:
待机功率降低和SRAM电池优化65nm技术
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SRAM;
body bias;
source bias;
standby current;
static noise margin;
29.
Architecture design exploration of three-dimensional (3D) integrated DRAM
机译:
三维(3D)集成DRAM的建筑设计探索
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
3D integration;
DRAM;
30.
CAD utilities to comprehend layout-dependent stress effects in 45 nm high- performance SOI custom macro design
机译:
CAD公用事业在45 NM高性能SOI自定义宏观设计中理解布局依赖应力效应
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Layout-dependent stress;
custom layout design;
mobility;
31.
An effective approach to detect logic soft errors in digital circuits based on GRAAL
机译:
基于GRAal的数字电路逻辑软误差检测逻辑软误差的有效方法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Error detection;
latch-based design;
time redundancy;
32.
A 0.56-V 128kb 10T SRAM using column line assist (CLA) scheme
机译:
使用柱线辅助(CLA)方案0.56V 128KB 10T SRAM
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SRAM;
low-voltage operation;
33.
Kriging Model combined with latin hypercube sampling for surrogate modeling of analog integrated circuit performance
机译:
Kriging模型结合拉丁超立方体采样,用于模拟集成电路性能的代理模拟
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Design of Experiments;
Kriging Model;
Latin Hypercube Sampling;
Response Surface Model;
Surrogate-modeling;
circuit performance;
parametric yield;
34.
Implementation of power managed hyper transport system for transmission of HD video
机译:
电力管理超传输系统的实现,用于传输高清视频
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
1080p video;
Hyper transport;
isochronous data;
modelsim;
power management;
verilog;
35.
On-chip transistor characterization arrays with digital interfaces for variability characterization
机译:
片上晶体管表征阵列,具有可变性表征的数字接口
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
CMOS;
PCA;
arrays;
characterization;
measurement;
modeling;
on-chip;
statistical;
transistor;
variability;
36.
New subthreshold concepts in 65nm CMOS technology
机译:
65nm CMOS技术的新亚阈值概念
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Low-voltage;
low-power;
nanoscale;
subthreshold;
37.
Cell shifting aware of wirelength and overlap
机译:
细胞移位意识到Wirelength和重叠
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Cell Shifting;
Mixed-size Placement;
38.
Parametric analysis to determine accurate interconnect extraction corners for design performance
机译:
参数分析以确定用于设计性能的精确互连提取拐角
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
39.
Erect of regularity-enhanced layout on printability and circuit performance of standard cells
机译:
正规增强布局的标准电池的可印刷性和电路性能的竖立
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
DFM;
Layout Regularity;
Performance;
Standard Cell;
Variability;
40.
On temperature planarization effect of copper dummy fills in deep nanometer technology
机译:
铜伪填料温度平坦化效应深纳米技术
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
41.
Parallel partitioning based on-chip power distribution network analysis using locality acceleration
机译:
基于芯片配电网络分析的并行分区使用地区加速度
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
DC analysis;
Parallel;
flip-chip;
locality;
on-chip;
power distribution network;
42.
Early clock prototyping for design analysis and quality entitlement
机译:
用于设计分析和质量权利的早期时钟原型设计
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
43.
Switch level optimization of digital CMOS gate networks
机译:
数字CMOS门网络的开关级优化
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
BDD;
CMOS gates;
Switch theory;
transistor networks;
unateness;
44.
Markov source based test length optimized SCAN-BIST architecture
机译:
基于马尔可夫源的测试长度优化扫描BIST架构
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
45.
Defect characterization in magnetic field coupled arrays
机译:
磁场耦合阵列中的缺陷表征
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
46.
A generalized V-shaped multilevel method for large scale floorplanning
机译:
大规模平面平面的广义V形多级方法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Floorplanning;
Multilevel Framework;
fixed-outline;
partitioning;
sequence pair;
47.
Leakage optimization using transistor-level dual threshold voltage cell library
机译:
使用晶体管级双阈值电池库泄漏优化
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
cell library;
leakage;
low power;
transistor-level dual-Vth;
48.
Small embeddable NBTI sensors (SENS) for tracking on-chip performance decay
机译:
用于跟踪片上性能衰减的小嵌入式NBTI传感器(SET)
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Circuit Reliability;
DFM;
NBTI;
49.
NBTI aware workload balancing in multi-core systems
机译:
NBTI意识到多核系统中的工作负载均衡
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
50.
Automatic register banking for low-power clock trees
机译:
用于低功耗时钟树的自动注册银行
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
clock tree;
low-power;
register banking;
51.
Parameter tuning in SVM-based power macro-modeling
机译:
基于SVM的功率宏观建模中的参数调整
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Macro-Model;
Power Estimation;
Support Vector Machines;
52.
A unified gate sizing formulation for optimizing soft error rate, cross-talk noise and power under process variations
机译:
用于优化流程变化的软错误率,串扰噪声和功率的统一栅极尺寸配方
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Cross-talk Noise;
Gate Sizing;
Soft Errors;
Unified Optimization Framework;
53.
Buffer/flip-flop block planning for power-integrity-driven floorplanning
机译:
用于电力完整性驱动的地板的缓冲器/触发器块规划
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
54.
A unified FinFET reliability model including high K gate stack dynamic threshold voltage, hot carrier injection, and negative bias temperature instability
机译:
统一的FinFET可靠性模型,包括高k门堆堆动态阈值电压,热载体喷射和负偏置温度不稳定性
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
HCI;
HKSDT;
Model;
NBTI;
Reliability;
circuit;
55.
Statistical static performance analysis of asynchronous circuits considering process variation
机译:
考虑过程变化的异步电路统计静态性能分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Asynchronous circuits;
Petri-Net model;
Statistical static timing analysis;
process variation;
56.
Adaptive leakage control on body biasing for reducing power consumption in CMOS VLSI circuit
机译:
CMOS VLSI电路降低电力消耗的体偏压自适应泄漏控制
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Body Bias;
Leakage;
Pre-computation;
57.
Simultaneous test pattern compaction, ordering and X-filling for testing power reduction
机译:
同时测试模式压实,排序和X填充用于测试功率降低
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
58.
Lagrangian relaxation based register placement for high-performance circuits
机译:
拉格朗日放松基于高性能电路的寄存器放置
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Register;
clock skew;
high-performance;
59.
Uncriticality-directed scheduling for tackling variation and power challenges
机译:
用于解决变化和电力挑战的疏忽定向调度
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Variability resilience;
low power;
microarchitecture;
60.
Accelerating jitter tolerance qualification for high speed serial interfaces
机译:
加速高速串行接口的抖动公差资格
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Jitter;
bit error rate;
jitter tolerance;
serial interface;
61.
New word-line driving scheme for suppressing oxide-tunneling leakage in sub-65-nm SRAMs
机译:
用于抑制Sub-65-NM SRAM中氧化灭隧道泄漏的新字线驱动方案
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SRAM;
leakage current;
low-leakage SRAM;
low-power SRAM;
oxide-tunneling leakage;
62.
Crosstalk pessimism reduction with path base analysis
机译:
串扰悲观态度降低路径基础分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
63.
Proactive management of X's in scan chains for compression
机译:
主动管理X的扫描链中的压缩
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
64.
Fast characterization of parameterized cell library
机译:
参数化单元库的快速表征
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Standard cell library;
constant delay model;
parameterized cell;
65.
A Built-in self-calibration scheme for pipelined ADCs
机译:
流水线ADC的内置自校准方案
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
ENOB testing;
Mixed-signal testing;
built-in-self-test (BIST);
digital calibration;
digitally-assisted analog testing;
66.
Yield evaluation of analog placement with arbitrary capacitor ratio
机译:
采用任意电容比的模拟放置的产量评估
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Spatial correlation;
Yield Evaluation;
capacitance mismatch;
process variation;
yield analysis;
67.
An efficient reliability evaluation approach for system-level design of embedded systems
机译:
嵌入式系统系统级设计有效的可靠性评估方法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
System Error Decision Diagram;
embedded system;
permanent error;
reliability evaluation;
system-level design;
transient error;
68.
An information theoretic framework to compute the MAX/MIN operations in parameterized statistical timing analysis
机译:
在参数化统计时序分析中计算MAX / MIN操作的信息理论框架
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Statistical timing analysis;
information theoretic concepts;
the MAX/MIN operations;
69.
A study of decoupling capacitor effectiveness in power and ground grid networks
机译:
电力和地面电网网络解耦电容效应的研究
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Decoupling capacitor effectiveness;
power supply noise;
70.
NBTI-aware statistical circuit delay assessment
机译:
NBTI感知统计电路延迟评估
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
71.
Parallel flow to analyze the impact of the voltage regulator model in nanoscale power distribution network
机译:
并行流程分析纳米级配电网络中电压调节器模型的影响
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Power distribution network;
frequency-time co-simulation;
non-ideal voltage regulator;
parallel processing;
72.
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off
机译:
DSM技术中缓存的联合写入策略和容错机制选择:能量可靠性折衷
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Write-through cache;
energy consumption;
reliability;
write-back cache;
73.
Efficient SAT-based techniques for Design of Experiments by using static variable ordering
机译:
通过使用静态可变排序设计基于SAT的技术技术
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Boolean Satisfiability (SAT);
Design of Experiments (DOE);
Quasigroup Completion Problems (QCPs);
error correcting codes;
static variable ordering;
statistical design;
74.
A software pipelining algorithm in high-level synthesis for FPGA architectures
机译:
用于FPGA架构的高级合成软件流水线算法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Modulo Scheduling;
Software pipelining;
circular dependency;
memory address aliasing;
memory lifetime hole;
75.
Power variability test chip architecture and 45nm-generation silicon-based analysis for robust, power-aware SoC design
机译:
功率和变化测试芯片架构和45nm-Generation基于硅的强大,动力感知SoC设计分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Dynamic Power;
Leakage Power;
On-chip Variation;
Power Efficiency;
SoC;
Statistical;
Test Chip;
Variability;
76.
Accurate buffer modeling with slew propagation in subthreshold circuits
机译:
用亚阈值电路中的旋转旋转传播进行准确的缓冲模型
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Clock;
Modeling;
Skew;
Slew;
Subthreshold;
77.
Accurate closed-form parameterized block-based statistical timing analysis applying skew-normal distribution
机译:
准确的封闭形式参数化基于块的统计定时分析应用偏斜正态分布
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Physical Design;
SSTA;
Statistical Static Timing Analysis;
Timing Analysis;
VLSI;
78.
On-chip DC-DC converters for three-dimensional ICs
机译:
用于三维IC的片上DC-DC转换器
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
79.
Clock gating effectiveness metrics: Applications to power optimization
机译:
时钟门控功能度量:应用于电源优化的应用
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
80.
Timing yield estimation of digital circuits using a control variate technique
机译:
使用控制变化技术时序产量估计数字电路
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Control Variate;
Digital VLSI Circuits;
Monte Carlo;
Process Variations;
Timing Yield;
Variance Reduction;
81.
Low power adaptive pipeline based on instruction isolation
机译:
基于指令隔离的低功耗自适应管道
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
ALU;
Low Power Design;
Reliability;
Voltage Scaling;
82.
The impact of BEOL lithography effects on the SRAM cell performance and yield
机译:
BEOL光刻效应对SRAM细胞性能和产量的影响
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
83.
VeriC: A semi-hardware description language to bridge the gap between ESL design and RTL models
机译:
浏览:半硬件描述语言,用于弥合ESL设计与RTL型号之间的差距
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Cycle/Pin accurate;
Debugging interface;
Fast Co-simulation;
Fast ESL flow;
Implicit clock;
Semi-hardware description language;
84.
PVT variation impact on voltage island formation in MPSoC design
机译:
MPSOC设计中电压岛地层的PVT变异影响
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Process;
energy optimization;
multiprocessor system on chip;
voltage and temperature variations;
voltage/frequency islands;
85.
Side channel aware leakage management in nanoscale Cryptosystem-on-Chip (CoC)
机译:
纳米级密码系统(COC)中的侧通道意识泄漏管理
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Cryptosystem-on-Chip (CoC);
Leakage power;
side channel;
86.
Robust differential asynchronous nanoelectronic circuits
机译:
坚固的差分异步纳米电子电路
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
87.
Zero clock skew synchronization with rotary clocking technology
机译:
零时钟偏斜与旋转时钟技术同步
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
88.
Exploratory study on circuit and architecture design of very high density diode-switch phase change memories
机译:
非常高密度二极管开关相变存储器电路和架构设计的探索性研究
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
89.
Phenomenological model for gate length bias dependent inverter delay change with emphasis on library characterization
机译:
栅极长度偏置的现象学模型依赖逆变器延迟变化,重点对图书馆特征
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
90.
Efficient power network analysis with complete inductive modeling
机译:
具有完整电感造型的高效电网分析
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Power network;
frequency-domain;
inductive effect;
reluctance;
91.
Simultaneous buffer and interlayer via planning for 3D floorplanning
机译:
通过规划3D平面图的同步缓冲区和中间层
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
3D ICs;
buffer;
floorplanning;
interlayer via;
92.
Charge recovery logic as a side channel attack countermeasure
机译:
充电回收逻辑作为侧频攻击对策
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Charge Recovery Logic;
Counter-measure;
DPA;
93.
Combinational logic SER estimation with the presence of re-convergence
机译:
组合逻辑SER估计随着重复收敛的存在
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
BDD;
SER;
SET;
combinational logic;
re-convergence;
94.
SRAM supply voltage scaling: A reliability perspective
机译:
SRAM供电电压缩放:可靠性透视
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
SRAM;
error-correction code;
leakage;
leakage-power;
low-power;
low-voltage;
parametric failures;
soft-errors;
voltage scaling;
95.
An analytic model for Ge/Si core/shell nanowire MOSFETs considering drift-diffusion and ballistic transport
机译:
考虑漂移扩散和弹道运输的GE / SI核心/壳纳米线MOSFET分析模型
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
96.
Adaptive voltage controlled nanoelectronic addressing for yield, accuracy and resolution
机译:
适应性电压控制纳米电子寻址,用于产量,精度和分辨率
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
97.
Power estimation methodology for a high-level synthesis framework
机译:
高级合成框架的功率估计方法
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
High-level synthesis;
power estimation;
register transfer level;
system level;
vectorless;
98.
PETE: A device/circuit analysis framework for evaluation and comparison of charge based emerging devices
机译:
PETE:一种用于评估和基于电荷的新兴设备的装置/电路分析框架
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
99.
Performance-energy tradeoffs in reliable NoCs
机译:
可靠的NOCS中的性能 - 能源权衡
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Energy;
Network-on-Chip;
Performance;
Reliability;
100.
Performance evaluation of wireless networks on chip architectures
机译:
芯片架构无线网络的性能评估
会议名称:
《Quality of Electronic Design Quality of Electronic Design》
|
2009年
关键词:
Antenna;
Network on Chip;
Wireless communication;
意见反馈
回到顶部
回到首页