掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE Custom Integrated Circuits Conference
IEEE Custom Integrated Circuits Conference
召开年:
2014
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Low power transceivers and oscillators
机译:
低功耗收发器和振荡器
作者:
Dai Foster
;
Jung Byunghoo
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bit error rate;
Educational institutions;
Energy efficiency;
Transceivers;
Tuning;
Voltage-controlled oscillators;
2.
Power management
机译:
能源管理
作者:
McIntyre William
;
Trescases Olivier
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Capacitors;
Density measurement;
Educational institutions;
Implants;
Power demand;
Switches;
Topology;
3.
Design for data-center, low-power and SoCs
机译:
专为数据中心,低功耗和SoC设计
作者:
Paul Rick
;
Khan Aurangzeb
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Clocks;
Computer architecture;
Flip-flops;
Manufacturing;
Performance evaluation;
System-on-chip;
4.
Modeling of advanced devices
机译:
先进设备的建模
作者:
McAndrew Colin
;
Onodera Hidetoshi
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
FinFETs;
Integrated circuit modeling;
Load modeling;
Performance evaluation;
Semiconductor device modeling;
5.
Sub-session: Data converter techniques
机译:
分会场:数据转换器技术
作者:
Grilo Jorge
;
Jiang Xicheng
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
Calibration;
Communication systems;
Computational modeling;
Computer architecture;
Modulation;
Predictive models;
6.
Analog techniques
机译:
模拟技术
作者:
McNeill John
;
Ranjbar Mohammad
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Latches;
Phase locked loops;
Synchronization;
Uncertainty;
Visualization;
7.
Energy-efficient bio-sensing systems
机译:
节能的生物传感系统
作者:
Mohseni Pedram
;
Chiang Patrick
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Algorithm design and analysis;
Compressed sensing;
Educational institutions;
Energy efficiency;
Heuristic algorithms;
Sensors;
8.
Embedded tutorial: Test and manufacturability for silicon photonics and 3D integration
机译:
嵌入式教程:硅光子学和3D集成的测试和可制造性
作者:
Sachdev Manoj
;
Iizuka Tetsuya
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Integrated circuit interconnections;
Optical interconnections;
Silicon photonics;
Three-dimensional displays;
Tutorials;
9.
Design technology co-optimization for 10 nm and beyond
机译:
针对10 nm及更高波长的设计技术共同优化
作者:
Kikkawa Takamaro
;
Joshi Rajiv
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CNTFETs;
Educational institutions;
Integrated circuit technology;
Integrated circuits;
Photonic band gap;
Semiconductor materials;
Silicon carbide;
10.
Advanced memory topics
机译:
高级内存主题
作者:
Kirihata Toshiaki
;
Somasekhar Dinesh
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Error correction codes;
Logic gates;
Next generation networking;
Phase change materials;
Random access memory;
Threshold voltage;
11.
Wireline transceivers
机译:
有线收发器
作者:
Emami Azita
;
Tam Kimo
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Jitter;
Phase locked loops;
Receivers;
Transceivers;
Transmitters;
12.
Wireline clocking and equalization
机译:
有线时钟和均衡
作者:
Walker William
;
Fischette Dennis
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bit error rate;
CMOS integrated circuits;
Clocks;
Decision feedback equalizers;
Delay lines;
Jitter;
Phase locked loops;
13.
Testability and reliability enhancement techniques
机译:
可测性和可靠性增强技术
作者:
Li Mike
;
Roberts Gordon
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clamps;
Discrete Fourier transforms;
Frequency measurement;
Integrated circuit reliability;
Integrated circuits;
Temperature measurement;
14.
Amplifiers and filters
机译:
放大器和滤波器
作者:
Naviasky Eric
;
Suyama Ken
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Active filters;
Capacitance;
Chebyshev approximation;
Microelectronics;
Noise;
Resistors;
Tuning;
15.
Challenges for analog nanoscale technology
机译:
模拟纳米技术的挑战
作者:
Venkatraman Ramnath
;
Guo Richard
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Computational efficiency;
Layout;
Nanoscale devices;
Semiconductor device reliability;
System-on-chip;
16.
Bio-systems at Gigahertz
机译:
千兆赫的生物系统
作者:
Lee Ed
;
El-Gamal Mourad
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Educational institutions;
Radio transmitters;
Receivers;
Sensors;
Spectroscopy;
Wireless communication;
Wireless sensor networks;
17.
Advanced simulation techniques
机译:
先进的模拟技术
作者:
Gu Chenjie
;
Nagel Larry
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Analytical models;
Computational modeling;
Integrated circuit modeling;
Mathematical model;
Phase locked loops;
18.
POWER8 design methodology innovations for improving productivity and reducing power
机译:
POWER8设计方法创新,可提高生产率并降低功耗
作者:
Ziegler Matthew M.
;
Puri Ruchir
;
Philhower Bob
;
Franch Robert
;
Luk Wing
;
Leenstra Jens
;
Verwegen Peter
;
Fricke Niels
;
Gristede George
;
Fluhr Eric
;
Zyuban Victor
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Complexity theory;
Design methodology;
IP networks;
Latches;
Productivity;
Program processors;
Timing;
Design methodology;
low power design;
processors;
servers;
synthesis;
19.
Calibrated time-interleaved high-speed ADCs
机译:
校准的时间交错高速ADC
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Analog-digital conversion;
Application specific integrated circuits;
Signal processing;
20.
High-performance analog/mixed-signal characterization techniques
机译:
高性能模拟/混合信号表征技术
作者:
Garrity Doug
;
Braswell Brandt
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Best practices;
Data analysis;
Dynamic range;
IEC standards;
IP networks;
Integrated circuits;
21.
Reconfigurable SDR front-end techniques
机译:
可重新配置的SDR前端技术
作者:
Nauta Bram
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antennas;
Educational institutions;
Noise cancellation;
Receivers;
Robustness;
22.
Introduction to design considerations of DRAM memory controllers
机译:
DRAM存储器控制器设计注意事项简介
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Availability;
Educational institutions;
Random access memory;
Scheduling;
System performance;
Training;
23.
Recent developments in RF receivers
机译:
射频接收器的最新发展
作者:
Razavi Behzad
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antennas;
Educational institutions;
Electrical engineering;
MIMO;
Phase locked loops;
Radio frequency;
Receivers;
24.
Advanced modeling and simulation of state-of-the-art high-speed I/O interfaces
机译:
先进的高速I / O接口的高级建模和仿真
作者:
Kim Jaeha
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Calibration;
Crosstalk;
Dielectric losses;
Integrated circuit modeling;
Noise;
Timing;
25.
Miniaturized energy-harvesting piezoelectric chargers
机译:
小型化的能量收集压电充电器
作者:
Rincon-Mora Gabriel Alfonso
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Batteries;
Bridge circuits;
Kinetic energy;
Power generation;
Program processors;
Switches;
System-on-chip;
26.
A mm-sized implantable device with ultrasonic energy transfer and RF data uplink for high-power applications
机译:
毫米大小的可植入设备,具有超声波能量传输和射频数据上行链路,可用于大功率应用
作者:
Charthad Jayant
;
Weber Marcus J.
;
Chang Ting Chia
;
Saadat Mahmoud
;
Arbabian Amin
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Acoustics;
Antenna measurements;
Implants;
Radio frequency;
Receivers;
Semiconductor device measurement;
Voltage measurement;
AC-DC power converters;
Implantable biomedical devices;
mm-sized implants;
radio transmitters;
ultrasonic power delivery;
27.
A multiple-output fixed current stimulation ASIC for peripherally-implantable neurostimulation system
机译:
用于外周植入神经刺激系统的多输出固定电流刺激ASIC
作者:
Lee Edward K.F.
;
Matei Eusebiu
;
Gang Van
;
Shi Jess
;
Zadeh Ali
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Application specific integrated circuits;
Current measurement;
Electrodes;
Monitoring;
Pain;
Resistors;
Voltage measurement;
28.
A 0.010mm2 9.92ps
rms
low tracking jitter pixel clock generator with a divider initializer and a nearest phase selector in 28nm CMOS technology
机译:
一个0.010mm 2 sup> 9.92ps
rms inf>低跟踪抖动像素时钟发生器,具有分频器初始化器和最近的相位选择器,采用28nm CMOS技术
作者:
Choo Kangyeop
;
Kim Sung-Jin
;
Kim Wooseok
;
Kim Jihyun
;
Kim Taeik
;
Park Hojin
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
Clocks;
Delays;
Jitter;
Phase locked loops;
Synchronization;
Voltage-controlled oscillators;
CMOS;
DLL;
HSYNC;
PCG;
Pixel;
phase;
29.
A 0.4-V, 500-MHz, ultra-low-power phase-locked loop for near-threshold voltage operation
机译:
一个0.4V,500MHz超低功耗锁相环,用于接近阈值电压工作
作者:
Moon Joung-Wook
;
Kim Sung-Geun
;
Kwon Dae-Hyun
;
Choi Woo-Young
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Charge pumps;
Current measurement;
Frequency control;
Frequency measurement;
Phase locked loops;
Voltage control;
Voltage-controlled oscillators;
Automatic frequency calibration (AFC);
charge pump;
current mismatch;
current variation;
near-threshold voltage (NTV);
phase-locked loop (PLL);
ultra-low power;
ultra-low voltage (ULV);
30.
An ultra-low power power management unit with −40dB switching-noise-suppression for a 3×3 thermoelectric generator array with 57 maximum end-to-end efficiency
机译:
具有−40dB开关噪声抑制能力的超低功耗电源管理单元,用于3×3热电发电机阵列,最高端到端效率为57%
作者:
Zarate-Roldan Jorge
;
Carreon-Bautista Salvador
;
Costilla-Reyes Alfredo
;
Sanchez-Sinencio Edgar
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Arrays;
Energy harvesting;
Noise;
Phasor measurement units;
Regulators;
Resistance;
Switching frequency;
DC-DC converter;
LDO;
Linear regulator;
MPPT;
TEG;
energy harvesting;
31.
A voltage-scalable 10-b pipelined ADC with current-mode amplifier
机译:
具有电流模式放大器的可缩放电压的10b流水线ADC
作者:
Suh Yunjae
;
Choi Seungnam
;
Kim Byungsub
;
Park Hong-June
;
Sim Jae-Yoon
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Calibration;
Logic gates;
Power demand;
Resistance;
Transistors;
Voltage control;
Voltage measurement;
Operational amplifier;
analog-to-digital converter (ADC);
current-mode amplifier;
pipelined ADC;
switched-capacitor circuit;
32.
A supply-scalable differential amplifier with pulse-controlled common-mode feedback
机译:
具有脉冲控制共模反馈的电源可扩展差分放大器
作者:
Hsu Chun-Wei
;
Kinget Peter R.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Feedback circuits;
Frequency measurement;
Resistance;
Resistors;
Threshold voltage;
Voltage measurement;
33.
A fully integrated translational tracking filter with >40dB blocker attenuation and >68dB harmonic rejection in 40nm for Digital TV tuner applications
机译:
完全集成的转换跟踪滤波器,在40nm内具有> 40dB的阻滞衰减和> 68dB的谐波抑制性能,适用于数字电视调谐器应用
作者:
Chu Kun-Da
;
Lu Ying-Tsang
;
Wang Chao-Wei
;
Hung Chih-Ming
;
Lee Meng-Chang
;
Yen Shih-Chieh
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Attenuation;
Band-pass filters;
Bandwidth;
Digital TV;
Harmonic analysis;
Phase noise;
Power harmonic filters;
TLF;
TV;
feed-forward cancellation;
harmonic rejection;
tracking filter;
translational filter;
tuner;
34.
A 12.5-Gb/s self-calibrating linear phase detector-based CDR using 0.18μm SiGe BiCMOS
机译:
使用0.18μmSiGe BiCMOS的基于12.5Gb / s的自校准线性相位检测器的CDR
作者:
Walker Jeremy
;
Kenney John G.
;
Bankman Jesse
;
Chen Terry
;
Harston Steve
;
Lawas Kenneth
;
Lewine Andrew
;
Soenneker Richard
;
Germain Michael St.
;
Titus Ward
;
Wang Andrew Y.
;
Tam Kimo
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
BiCMOS integrated circuits;
Calibration;
Clocks;
Detectors;
Jitter;
Latches;
Timing;
BiCMOS integrated circuits;
clock and data recovery (CDR);
delay-locked-loop (DLL);
35.
A two-step 5b logarithmic ADC with minimum step-size of 0.1 full-scale for MLC phase-change memory readout
机译:
两步5b对数ADC,最小步长为满量程的0.1%,用于MLC相变存储器读出
作者:
Kwon Ji-Wook
;
Jin Dong-Hwan
;
Kim Hyeon-June
;
Hwang Sun-Il
;
Shin Min-Chul
;
Kang Jong-Ho
;
Ryu Seung-Tak
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Computer architecture;
Microprocessors;
Mirrors;
Phase change materials;
Phase change memory;
Resistance;
logarithmic ADC;
multi-level cell;
phase-change memory;
resistance-to-current converter (R2I);
36.
A 10mV-input boost converter with inductor peak current control and zero detection for thermoelectric energy harvesting
机译:
具有电感峰值电流控制和零检测功能的10mV输入升压转换器,用于热电能量收集
作者:
Shrivastava Aatmesh
;
Wentzloff David
;
Calhoun Benton H.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Current measurement;
IP networks;
Inductors;
Switches;
Switching circuits;
Timing;
37.
A 350 mV, 5 GHz class-D enhanced swing quadrature VCO in 65 nm CMOS with 198.3 dBc/Hz FoM
机译:
在65 nm CMOS中具有350mV,5 GHz D类增强型摆幅正交VCO,FoM为198.3 dBc / Hz
作者:
Roy Ankur Guha
;
Dey Siladitya
;
Goins Justin
;
Mayaram Kartikeya
;
Fiez Terri S.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Coils;
MOSFET;
Phase noise;
Tuning;
Voltage-controlled oscillators;
Enhanced Swing;
Low Voltage VCO;
Oscillators;
Quadrature VCO;
Voltage controlled oscillators;
class-D;
38.
An analog optimum torque control IC for a 200W wind energy conversion system with over 99 MPPT accuracy, 1.7 THD
i
and 0.99 power factor
机译:
用于200W风能转换系统的模拟最佳转矩控制IC,MPPT精度超过99%,THD
i inf>且功率因数为0.99
作者:
Huang Peng-Chang
;
Liu Wen-Chuen
;
Liu Yi-Chen
;
Kuo Yeong-Chau
;
Kuo Tai-Haur
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Calibration;
Generators;
Integrated circuits;
Maximum power point trackers;
Rectifiers;
Voltage control;
Energy harvesting;
maximum power point tracking;
wind energy generation;
39.
A 5.8nW, 45ppm/°C on-chip CMOS wake-up timer using a constant charge subtraction scheme
机译:
采用恒定电荷减法的5.8nW,45ppm /°C片上CMOS唤醒定时器
作者:
Jeong Seokhyeon
;
Lee Inhee
;
Blaauw David
;
Sylvester Dennis
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
Delays;
Oscillators;
Resistors;
Temperature measurement;
Temperature sensors;
Thermal stability;
40.
A 45-ratio recursively sliced series-parallel switched-capacitor DC-DC converter achieving 86 efficiency
机译:
45比递归切片的串联-并联开关电容器DC-DC转换器,效率达到86%
作者:
Salem Loai G.
;
Mercier Patrick P.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Current density;
DC-DC power converters;
Density measurement;
Switches;
Topology;
Voltage control;
DC-DC converters;
Switched-capacitor;
multi-ratio;
power management;
voltage regulators;
41.
A 75mW 50Gbps SerDes transmitter with automatic serializing time window search in 65nm CMOS technology
机译:
具有65nm CMOS技术的75mW 50Gbps SerDes发射机,具有自动序列化时间窗口搜索功能
作者:
Huang Ke
;
Wang Ziqiang
;
Zheng Xuqiang
;
Zhang Chun
;
Wang Zhihua
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Clocks;
Delays;
Jitter;
Latches;
Transmitters;
SerDes;
low power;
serializing time window search;
transmitter;
42.
A 32-Gb/s 9.3-mW CMOS equalizer with 0.73-V supply
机译:
具有0.73V电源的32Gb / s 9.3mW CMOS均衡器
作者:
Manian Abishek
;
Razavi Behzad
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Decision feedback equalizers;
Feedforward neural networks;
Inductors;
Latches;
43.
A stereo 110 dB multi-rate audio ΔΣ DAC with Class-G headphone driver
机译:
具有G类耳机驱动器的立体声110 dB多速率音频ΔΣDAC
作者:
Kim Min Gyu
;
Lu Dongtian
;
Brooks Todd
;
Kim Young Ju
;
Chandrasekhar Vinay
;
Stubbs Dale
;
Maughan Steven
;
Mas Bartomeu Servera
;
Yu David
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
Headphones;
Latches;
Modulation;
Multi-stage noise shaping;
Noise;
Power demand;
DAC;
Multi-rate;
Sigma-delta;
audio;
headphone driver;
44.
A 1.6–2.2GHz 23dBm low loss integrated CMOS duplexer
机译:
一个1.6–2.2GHz 23dBm低损耗集成CMOS双工器
作者:
Elkholy Mohamed
;
Mikhemar Mohyee
;
Darabi Hooman
;
Entesari Kamran
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antennas;
CMOS integrated circuits;
Impedance;
Noise;
Noise measurement;
Ports (Computers);
Tuning;
3G/4G mobile communications;
balancing network;
cellular phones;
duplexers;
impedance matching;
isolation;
45.
A 3.15pJ/cyc 32-bit RISC CPU with timing-error prevention and adaptive clocking in 28nm CMOS
机译:
具有3.15pJ / cyc 32位RISC CPU,具有时序错误预防功能和28nm CMOS自适应时钟
作者:
Hiienkari Markus
;
Teittinen Jukka
;
Koskinen Lauri
;
Turnquist Matthew
;
Kaltiokallio Mikko
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Adaptation models;
CMOS integrated circuits;
Central Processing Unit;
Clocks;
Latches;
Pipelines;
Timing;
46.
A 1.2 V 2.64 GS/s 8bit 39 mW skew-tolerant time-interleaved SAR ADC in 40 nm digital LP CMOS for 60 GHz WLAN
机译:
用于60 GHz WLAN的40 nm数字LP CMOS中的1.2 V 2.64 GS / s 8位39 mW耐斜度时间交错SAR ADC
作者:
Kundu Sandipan
;
Lu Julia H.
;
Alpman Erkan
;
Lakdawala Hasnain
;
Paramesh Jeyanandh
;
Jung Byunghoo
;
Zur Sarit
;
Gordon Eshel
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Calibration;
Gain;
OFDM;
Receivers;
Switches;
Timing;
802.11ad;
ADCs;
SAR;
Time-interleaving;
WiGig;
skew-tolerant;
47.
A 52 tuning range QVCO with a reduced noise coupling scheme and a minimum FOM
T
of 196dBc/Hz
机译:
具有降低的噪声耦合方案和最小FOM
T inf>为196dBc / Hz的52%调谐范围QVCO
作者:
Elbadry Mohammad
;
Kalia Sachin
;
Harjani Ramesh
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Couplings;
MOS devices;
Noise;
Oscillators;
Power demand;
Transistors;
Tuning;
48.
A mm-wave class-E 1-bit power modulator
机译:
毫米波E类1位功率调制器
作者:
Datta Kunal
;
Hashemi Hossein
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Heterojunction bipolar transistors;
Modulation;
Power generation;
Silicon germanium;
Switches;
Transmitters;
Class-E;
Power Amplifier (PA);
Q-band;
millimeter-wave;
modulator;
silicon germanium (SiGe) HBT;
transmitter;
49.
A 239–281GHz Sub-THz imager with 100MHz resolution by CMOS direct-conversion receiver with on-chip circular-polarized SIW antenna
机译:
CMOS直接转换接收器和片上圆极化SIW天线,分辨率为100MHz的239–281GHz Sub-THz成像器
作者:
Shang Yang
;
Yu Hao
;
Yang Chang
;
Liang Yuan
;
Lim Wei Meng
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antenna measurements;
Bandwidth;
CMOS integrated circuits;
Image resolution;
Mixers;
Receiving antennas;
CMOS 65nm;
biomedical;
high detection resolution;
imaging;
sub-terahertz;
substrate integrated waveguide;
50.
A bidirectional neural interface SoC with an integrated spike recorder, microstimulator, and low-power processor for real-time stimulus artifact rejection
机译:
具有集成的峰值记录器,微刺激器和低功耗处理器的双向神经接口SoC,用于实时消除刺激物
作者:
Limnuson K.
;
Lu H.
;
Chiel H.J.
;
Mohseni P.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Blanking;
Digital signal processing;
Real-time systems;
Registers;
Sea measurements;
System-on-chip;
Timing;
51.
A VCO-based current-to-digital converter for sensor applications
机译:
基于VCO的电流数字转换器,用于传感器应用
作者:
Prabha Praveen
;
Kim Seong Joong
;
Reddy Karthikeyan
;
Rao Sachin
;
Griesert Nathanael
;
Rao Arun
;
Winter Greg
;
Hanumolu Pavan Kumar
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
Computer architecture;
Current measurement;
Microprocessors;
Modulation;
Prototypes;
Voltage-controlled oscillators;
Analog-digital conversion;
Current measurement;
Delta-sigma modulation;
Optical sensors;
Photodiodes;
Sensor systems and applications;
Voltage-controlled oscillators;
52.
A multi-phase sub-harmonic injection locking technique for bandwidth extension in silicon-based THz signal generation
机译:
基于硅的太赫兹信号生成中用于带宽扩展的多相亚谐波注入锁定技术
作者:
Chi Taiyun
;
Luo Jun
;
Hu Song
;
Wang Hua
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
Frequency measurement;
Harmonic analysis;
Injection-locked oscillators;
Routing;
Tuning;
BiCMOS integrated circuits;
Terahertz signal source;
frequency tuning;
harmonic generation;
injection-locked oscillators;
ring oscillators;
53.
A 1.1V 2y-nm 4.35Gb/s/pin 8Gb LPDDR4 mobile device with bandwidth improvement techniques
机译:
具有带宽改进技术的1.1V 2y-nm 4.35Gb / s / pin 8Gb LPDDR4移动设备
作者:
Song Keunsoo
;
Lee Sangkwon
;
Kim Dongkyun
;
Shim Youngbo
;
Park Sangil
;
Ko Bokrim
;
Hong Duckhwa
;
Joo Yongsuk
;
Lee Wooyoung
;
Cho Yongdeok
;
Shin Wooyeol
;
Yun Jaewoong
;
Lee Hyengouk
;
Lee Jeonghun
;
Lee Eunryeong
;
Yang Jaemo
;
Jung Haekang
;
Jang Namkyu
;
Cho Joohwan
;
Kim Hyeongon
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
Clocks;
Computer aided software engineering;
Frequency conversion;
Random access memory;
Timing;
Training;
DRAM;
LPDDR4;
dram interface;
memory architecture;
training;
54.
MBus: A 17.5 pJ/bit/chip portable interconnect bus for millimeter-scale sensor systems with 8 nW standby power
机译:
MBus:17.5 pJ /位/芯片的便携式互连总线,用于具有8 nW待机功率的毫米级传感器系统
作者:
Kuo Ye-sheng
;
Pannuto Pat
;
Kim Gyouho
;
Foo Zhiyoong
;
Lee Inhee
;
Kempke Ben
;
Dutta Prabal
;
Blaauw David
;
Lee Yoonmyung
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
Image edge detection;
Phasor measurement units;
Robustness;
Timing;
Wireless sensor networks;
Wires;
Wireless sensor nodes;
data buses;
interconnections;
sensor systems;
55.
A 160 MS/s, 11.1 mW, single-channel pipelined SAR ADC with 68.3 dB SNDR
机译:
具有68.3 dB SNDR的160 MS / s,11.1 mW,单通道流水线SAR ADC
作者:
Tripathi Vaibhav
;
Murmann Boris
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Capacitance;
Capacitors;
Frequency measurement;
Latches;
Semiconductor device measurement;
Switches;
A/D converters;
CMOS;
Pipelined SAR ADC;
56.
A 1.6nJ/bit, 19.9μA peak current fully integrated 2.5mm2 inductive transceiver for volume-constrained microsystems
机译:
一个1.6nJ / bit,19.9μA峰值电流,完全集成的2.5mm 2 sup>电感收发器,适用于体积受限的微系统
作者:
Ghaed Mohammad Hassan
;
Skrzyniarz Skylar
;
Blaauw David
;
Sylvester Dennis
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Batteries;
Coils;
Envelope detectors;
Receivers;
Reservoirs;
System-on-chip;
Transceivers;
57.
A 14pJ/pulse-TX, 0.18nJ/b-RX, 100Mbps, channelized, IR-UWB transceiver for centimeter-to-meter range biotelemetry
机译:
一个14pJ / pulse-TX,0.18nJ / b-RX,100Mbps通道化IR-UWB收发器,用于厘米到米范围的生物遥测
作者:
Ebrazeh A.
;
Mohseni P.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Delays;
Frequency measurement;
Generators;
Radio frequency;
Transceivers;
Wireless communication;
58.
A 65 nm CMOS tunable 0.1-to-1.6 GHz distributed transmission line N-path filter with +10 dBm blocker tolerance
机译:
具有+10 dBm阻断器容差的65 nm CMOS可调0.1至1.6 GHz分布式传输线N路径滤波器
作者:
Thomas Chris M.
;
Larson Lawrence E.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandpass filter;
CMOS;
N-path filtering;
SAW-less;
passive mixer;
59.
A 0.42V Vccmin ASIC-compatible pulse-latch solution as a replacement for a traditional master-slave flip-flop in a digital SOC
机译:
一种0.42V Vccmin ASIC兼容的脉冲锁存解决方案,替代了数字SOC中的传统主从触发器
作者:
Dhong Sang
;
Guo Richard
;
Kuo Ming-Zhang
;
Yang Ping-Lin
;
Lin Cheng-Chung
;
Huang Kevin
;
Wang Min-Jer
;
Hwang Wei
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
Delays;
Inverters;
Latches;
Optical character recognition software;
Pulse generation;
System-on-chip;
Digital SOC;
distributed clock regenerator;
flipflops;
pulse generator;
pulse latch;
60.
A hybrid SAR-VCO ΔΣ ADC with first-order noise shaping
机译:
具有一阶噪声整形的混合SAR-VCOΔΣADC
作者:
Sanyal Arindam
;
Ragab Kareem
;
Chen Long
;
Viswanathan T.R.
;
Yan Shouli
;
Sun Nan
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Calibration;
Linearity;
Noise;
Quantization (signal);
Radiation detectors;
Switches;
Voltage-controlled oscillators;
analog to digital converters (ADC);
noise shaping;
successive approximation register (SAR);
two stage ADC;
voltage controlled oscillator (VCO);
61.
A 0.8V 140nW low-noise energy harvesting CMOS APS imager with fully digital readout
机译:
具有全数字读出功能的0.8V 140nW低噪声能量收集CMOS APS成像仪
作者:
Cevik Ismail
;
Ay Suat U.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Energy harvesting;
Image sensors;
Junctions;
Noise;
Photodiodes;
Power demand;
APS;
digital read out;
energy autonomous;
energy harvesting;
low noise;
low power;
pixel series readout;
62.
A test circuit based on a ring oscillator array for statistical characterization of Plasma-Induced Damage
机译:
基于环形振荡器阵列的统计表征等离子体诱发损伤的测试电路
作者:
Choi Won Ho
;
Satapathy Saroj
;
Keane John
;
Kim Chris H.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antenna measurements;
Antennas;
Degradation;
Frequency measurement;
Semiconductor device measurement;
Stress;
Voltage measurement;
63.
A VCO-based ADC employing a multi-phase noise-shaping beat frequency quantizer for direct sampling of Sub-1mV input signals
机译:
基于VCO的ADC,采用多相噪声整形差拍频率量化器直接对Sub-1mV输入信号进行采样
作者:
Kim Bongjin
;
Kundu Somnath
;
Ko Seokkyun
;
Kim Chris H.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clocks;
Frequency measurement;
Noise shaping;
Quantization (signal);
Semiconductor device measurement;
Signal resolution;
Voltage-controlled oscillators;
64.
A wideband RF receiver with >80 dB harmonic rejection ratio
机译:
谐波抑制比大于80 dB的宽带RF接收器
作者:
Liu Renzhi
;
Pileggi Larry
;
Weldon Jeffrey A.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Calibration;
Gain;
Harmonic analysis;
Mixers;
Radio frequency;
Receivers;
Wideband;
65.
A 127–140GHz injection-locked signal source with 3.5mW peak output power by zero-phase coupled oscillator network in 65nm CMOS
机译:
零相位耦合振荡器网络在65nm CMOS中的127–140GHz注入锁定信号源,峰值输出功率为3.5mW
作者:
Shang Yang
;
Yu Hao
;
Li Peng
;
Bi Xiaojun
;
Je Minkyu
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Couplings;
Density measurement;
Impedance matching;
Oscillators;
Power generation;
Power measurement;
CMOS 65nm;
mm-wave signal source;
zero-phase coupled oscillator network;
66.
A fully-integrated, 90 peak efficiency, 0.99 power factor, AC-DC LED Driver with on-chip direct-AC-connect series startup pre-regulator
机译:
完全集成的90%峰值效率,0.99功率因数的AC-DC LED驱动器,带有片上直接AC连接系列启动预调节器
作者:
Neyra Percy
;
Al-Shyoukh Mohammad
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Field effect transistors;
Light emitting diodes;
Lighting;
Logic gates;
Rails;
Resistors;
Voltage control;
AC-DC Converter;
LED Driver;
Power Factor;
Pre-regulator;
Startup;
67.
A 11μW 250 Hz BW two-step incremental ADC with 100 dB DR and 91 dB SNDR for integrated sensor interfaces
机译:
一个11μW250 Hz BW两步增量ADC,具有100 dB的DR和91 dB的SNDR,用于集成传感器接口
作者:
Chen Chia-Hung
;
Zhang Yi
;
He Tao
;
Chiang Patrick Y.
;
Temes Gabor C.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
Clocks;
Digital filters;
Dynamic range;
Modulation;
Switched capacitor circuits;
Timing;
Analog-to-digital Converter (ADC);
chopper stabilization;
decimation filter;
delta sigma (ΔΣ);
extended-counting;
incremental data converter;
micro-power;
oversampling;
sensor interface;
68.
A millimeter-wave tunable transformer-based dual-antenna duplexer with 50 dB isolation
机译:
基于毫米波可调谐变压器的双天线双工器,隔离度为50 dB
作者:
Lu Chuang
;
Matters-Kammerer Marion K.
;
Mahmoudi Reza
;
Baltus Peter G.M.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Circuit faults;
Coils;
Directive antennas;
Gain;
Noise measurement;
Tuning;
Duplexer;
Isolation;
Magnetic Tuning;
Millimeter-Wave;
Transformer;
69.
A 1.2V 110-MHz-UGB differential class-AB amplifier in 65nm CMOS
机译:
采用65nm CMOS的1.2V 110MHz-UGB差分AB类放大器
作者:
Visweswaran Akshay
;
Long John R.
;
Staszewski R.Bogdan
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
CMOS integrated circuits;
Capacitance;
Distortion measurement;
Impedance;
Noise;
Transistors;
70.
A high gain operational amplifier via an efficient conductance cancellation technique
机译:
通过高效电导消除技术的高增益运算放大器
作者:
Huang Bin
;
Chen Degang
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Gain;
Gain measurement;
MOS devices;
Operational amplifiers;
Transistors;
Tuning;
Voltage measurement;
Conductance cancellation;
DC gain;
gain enhancement;
operational amplifier;
71.
A −115dB PSRR CMOS bandgap reference with a novel voltage self-regulating technique
机译:
具有新型电压自调节技术的−115dB PSRR CMOS带隙基准
作者:
Zhu Yuanming
;
Liu Fei
;
Yang Yajuan
;
Huang Guocheng
;
Yin Tao
;
Yang Haigang
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Generators;
Noise;
Photonic band gap;
Semiconductor device measurement;
Temperature measurement;
Voltage control;
Voltage measurement;
72.
A tri-stack buck converter with gate coupling control (GCC) and quasi adaptive dead time control (QADTC)
机译:
具有栅极耦合控制(GCC)和准自适应死区时间控制(QADTC)的三堆叠降压转换器
作者:
Choi Jun-Han
;
Park Sang-Hui
;
Cho Gyu-Hyeong
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Batteries;
Capacitors;
Couplings;
Layout;
Logic gates;
MOS devices;
Rails;
Voltage tolerant;
adaptive dead time control;
gate coupling;
tolerant buck;
triple stack;
73.
A low-leakage, hybrid ESD power supply clamp in 65nm CMOS technology
机译:
采用65nm CMOS技术的低泄漏,混合ESD电源钳位
作者:
Elghazali Mahdi
;
Sachdev Manoj
;
Opal Ajoy
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Clamps;
Delays;
Electrostatic discharges;
Power supplies;
Stress;
Transient analysis;
Transistors;
74.
A 16kB tile-able SRAM macro prototype for an operating window of 4.8GHz at 1.12V VDD to 10 MHz at 0.5V in a 28-nm HKMG CMOS
机译:
一个16kB可拼接的SRAM宏原型,用于28nm HKMG CMOS的工作频率范围为4.8GHz(1.12V VDD)至10MHz(0.5V)
作者:
Kuo Ming-Zhang
;
Hsieh Henry
;
Dhong Sang
;
Yang Ping-Lin
;
Lin Cheng-Chung
;
Tseng Ryan
;
Huang Kevin
;
Wang Min-Jer
;
Hwang Wei
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Arrays;
CMOS integrated circuits;
CMOS technology;
IP networks;
Latches;
Noise;
Random access memory;
Cross-coupled PMOS-pair;
DVFS;
SRAM;
independently controlled precharge-and-write driver (ICPW);
75.
A baseband technique for automated LO leakage suppression achieving < −80dBm in wideband passive mixer-first receivers
机译:
用于自动LO泄漏抑制的基带技术在宽带无源混频器优先接收器中达到<-80dBm
作者:
Jayasuriya Suren
;
Yang Dong
;
Molnar Alyosha
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Baseband;
Calibration;
Mixers;
Monitoring;
Noise figure;
Radio frequency;
Receivers;
Harmonic mixing;
local oscillator (LO) leakage;
mixer;
passive mixer;
receiver;
software-defined radio (SDR);
wideband receiver;
76.
A 5mW 250MS/s 12-bit synthesized digital to analog converter
机译:
一个5mW 250MS / s 12位合成数模转换器
作者:
Ansari Elnaz
;
Wentzloff David D.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Analog circuits;
CMOS integrated circuits;
Calibration;
Design automation;
Layout;
Standards;
Table lookup;
DAC;
Design automation;
Digital calibration;
Synthesized analog circuits;
77.
A fully integrated electroencephalogram (EEG) analog front-end IC with capacitive input impedance boosting loop
机译:
完全集成的脑电图(EEG)模拟前端IC,具有电容输入阻抗升压环路
作者:
Lim Seunghyun
;
Seok Changho
;
Kim Hyunho
;
Song Haryong
;
Ko Hyoungho
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Boosting;
Capacitors;
Electroencephalography;
Frequency measurement;
Impedance;
Integrated circuits;
Noise;
Electroencephalogram (EEG);
analog front-end (AFE);
capacitive input impedance boosting loop (CIIBL);
78.
A 27μW subcutaneous wireless biosensing platform with optical power and data transfer
机译:
具有光功率和数据传输功能的27μW皮下无线生物传感平台
作者:
Sankaragomathi Kannan
;
Perez Luis
;
Mirjalili Ramin
;
Parviz Babak
;
Otis Brian
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Biomedical optical imaging;
Implants;
Optical fiber communication;
Optical receivers;
Optical sensors;
Optical transmitters;
Optical power transfer;
optical data transfer;
sub-cutaneous implant;
79.
A 0.4 V 75 kbit SRAM macro in 28 nm CMOS featuring a 3-adjacent MBU correcting ECC
机译:
在28 nm CMOS中的0.4 V 75 kbit SRAM宏,具有3相邻的MBU校正ECC
作者:
Neale Adam
;
Sachdev Manoj
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Channel models;
Error analysis;
Error correction;
Error correction codes;
Markov processes;
Random access memory;
Semiconductor device measurement;
80.
A 135GHz SiGe transmitter with a dielectric rod antenna-in-package for high EIRP/channel arrays
机译:
135GHz SiGe发射器,带有封装的介电棒状天线,用于高EIRP /通道阵列
作者:
Saiz Nicholas
;
Dolatsha Nemat
;
Arbabian Amin
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antenna arrays;
Antenna measurements;
Dielectrics;
Dipole antennas;
Semiconductor device measurement;
Transmitters;
AiP;
D-Band;
Dielectric Rod Antenna;
LCP;
Packaging;
Phased Array;
SiGe;
81.
A 0.18-μm CMOS fully integrated 0.7–6 GHz PLL-based complex dielectric spectroscopy system
机译:
一个0.18μmCMOS完全集成的基于0.7-6 GHz PLL的复杂介电谱系统
作者:
Elhadidy Osama
;
Shakib Sherif
;
Krenek Keith
;
Palermo Samuel
;
Entesari Kamran
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Dielectrics;
Frequency measurement;
Oscillators;
Permittivity;
Sensors;
Spectroscopy;
82.
TCAD structure synthesis and capacitance extraction of a voltage-controlled oscillator using automated layout-to-device synthesis methodology
机译:
使用自动布局到设备合成方法的压控振荡器的TCAD结构合成和电容提取
作者:
Bhattacharya Debajit
;
Joshi Rajiv V.
;
Ainspan Herschel A.
;
Sathaye Ninad D.
;
Bajaj Mohit
;
Gundapaneni Suresh
;
Jha Niraj K.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
Capacitance;
Hardware;
Layout;
Tuning;
Varactors;
Voltage-controlled oscillators;
83.
A 16-band channelizer employing harmonic rejection mixers with enhanced image rejection
机译:
一个采用谐波抑制混频器并具有增强的镜像抑制功能的16波段信道器
作者:
Singh Vineet
;
Forbes Travis
;
Ho Wei-Gi
;
Ko Jaegan
;
Gharpurey Ranjit
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
Baseband;
Calibration;
Clocks;
Gain;
Harmonic analysis;
Mixers;
Image Rejection;
channelizer;
harmonic rejection;
phase matching;
wideband receiver;
84.
Matching the power density and potentials of biological systems: A 3.1-nW, 130-mV, 0.023-mm3 pulsed 33-GHz radio transmitter in 32-nm SOI CMOS
机译:
匹配生物系统的功率密度和电势:32nm SOI CMOS中的3.1nW,130mV,0.023mm 3 sup>脉冲33GHz无线电发射机
作者:
Choi Jaebin
;
Aklimi Eyal
;
Roseman Jared
;
Tsai David
;
Krishnaswamy Harish
;
Shepard Kenneth L.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Antenna measurements;
Biological systems;
CMOS integrated circuits;
Capacitors;
Oscillators;
Transmitting antennas;
Implantable biological devices;
low-power electronics;
microwave antennas;
millimeter wave communication;
switched capacitor circuits;
85.
A 50–64 Gb/s serializing transmitter with a 4-tap, LC-ladder-filter-based FFE in 65-nm CMOS
机译:
一个50-64 Gb / s串行发送器,带有一个基于65纳米CMOS的4抽头,基于LC梯形滤波器的FFE
作者:
Chen Ming-Shuan
;
Yang Chih-Kong Ken
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bandwidth;
CMOS integrated circuits;
Delay lines;
Delays;
Inductors;
Multiplexing;
Transmitters;
86.
A 0.45mW 12b 12.5MS/s SAR ADC with digital calibration
机译:
具有数字校准功能的0.45mW 12b 12.5MS / s SAR ADC
作者:
Li Wei
;
Wang Tao
;
Grilo Jorge A.
;
Temes Gabor C.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
CMOS integrated circuits;
Calibration;
Capacitors;
Noise;
Power dissipation;
Preamplifiers;
Analog-to-digital converter (ADC);
calibration;
mismatch;
successive-approximation-register (SAR);
87.
A 6.5Mb/s to 11.3Gb/s continuous-rate clock and data recovery
机译:
6.5Mb / s至11.3Gb / s的连续速率时钟和数据恢复
作者:
Kenney Jack
;
Chen Terry
;
DeVito Larry
;
Dalton Declan
;
McCracken Stuart
;
Soenneker Richard
;
Titus Ward
;
Weigandt Todd
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Arrays;
Bandwidth;
Clocks;
Detectors;
Jitter;
Phase locked loops;
Phase shifters;
88.
A 500nA quiescent current, trim-free, ±1.75 absolute accuracy, CMOS-only voltage reference based on anti-doped N-channel MOSFETs
机译:
静态电流为500nA,无调整,绝对精度为±1.75%,基于反掺杂N沟道MOSFET的纯CMOS电压基准
作者:
Al-Shyoukh Mohammad
;
Kalnitsky Alex
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Accuracy;
CMOS integrated circuits;
Logic gates;
MOS devices;
Resistance;
Silicon;
Temperature measurement;
Anti-Doped;
CMOS Voltage Reference;
Low Quiescent Current;
Trim;
Trim-Free;
Work-Function;
89.
A 1.4-pJ/b, power-scalable 16×12-Gb/s source-synchronous I/O with DFE receiver in 32nm SOI CMOS technology
机译:
具有32nm SOI CMOS技术的DFE接收器的1.4pJ / b,可扩展功率的16×12-Gb / s源同步I / O
作者:
Dickson Timothy O.
;
Liu Yong
;
Rylov Sergey V.
;
Agrawal Ankur
;
Kim Seongwon
;
Hsieh Ping-Hsuan
;
Bulzacchelli John F.
;
Ferriss Mark
;
Ainspan Herschel
;
Rylyakov Alexander
;
Parker Benjamin D.
;
Baks Christian
;
Shan Lei
;
Kwark Young
;
Tierno Jose
;
Friedman Daniel J.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Clocks;
Decision feedback equalizers;
Delays;
Interpolation;
Receivers;
Transmitters;
90.
A 105dBA SNR, 0.0031 THD+N filterless class-D amplifier with discrete time feedback control in 55nm CMOS
机译:
具有55nm CMOS的离散时间反馈控制的105dBA SNR,0.0031%THD + N无滤波器D类放大器
作者:
Kinyua Martin
;
Wang Ruopeng
;
Soenen Eric
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
CMOS technology;
Capacitors;
Digital filters;
Noise;
Pulse width modulation;
Switches;
Class-D amplifier;
digital pulse-width modulation (DPWM);
power supply rejection ratio (PSRR);
total harmonic distortion and noise (THD+N);
91.
A 8.125–15.625 Gb/s SerDes using a sub-sampling ring-oscillator phase-locked loop
机译:
使用子采样环形振荡器锁相环的8.125–15.625 Gb / s SerDes
作者:
Vamvakos Socrates D.
;
Boecker Charles
;
Groen Eric
;
Wang Alvin
;
Desai Shaishav
;
Irwin Scott
;
Rao Vithal
;
Bottelli Aldo
;
Chen Jawji
;
Chen Xiaole
;
Choudhary Prashant
;
Hsieh Kuo-Chiang
;
Jennings Paul
;
Lin Haidang
;
Pechiu Dan
;
Rao Chethan
;
Yeung Jason
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Bit error rate;
Calibration;
Clocks;
Jitter;
Noise;
Phase locked loops;
Voltage-controlled oscillators;
Duty-cycle corrector;
PLL;
SerDes;
phase-locked loop;
sub-sampling PLL;
voltage-mode transmitter;
92.
A methodology for yield-specific leakage estimation in memory
机译:
存储器中特定于产量的泄漏估计的方法
作者:
Chatterjee Subho
;
Kolar Pramod
;
Chan Wei Jian
;
Ko Jae Y
;
Pandya Gunjan H.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Correlation;
Estimation;
Radio frequency;
Semiconductor device modeling;
Silicon;
Transistors;
93.
Wideband flexible-reach techniques for a 0.5–16.3Gb/s fully-adaptive transceiver in 20nm CMOS
机译:
适用于20nm CMOS的0.5-16.3Gb / s自适应收发器的宽带灵活可达技术
作者:
Savoj Jafar
;
Aslanzadeh Hesam
;
Carey Declan
;
Erett Marc
;
Fang Wayne
;
Frans Yohan
;
Hsieh Kenny
;
Im Jay
;
Jose Anup
;
Turker Didem
;
Upadhyaya Parag
;
Wu Daniel
;
Chang Ken
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS integrated circuits;
Clocks;
Decision feedback equalizers;
Frequency conversion;
Jitter;
Phase locked loops;
Transceivers;
94.
A robust parasitic-insensitive successive approximation capacitance-to-digital converter
机译:
健壮的寄生不敏感逐次逼近电容数字转换器
作者:
Omran Hesham
;
Arsalan Muhammad
;
Salama Khaled N.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Capacitance;
Capacitive sensors;
Capacitors;
Power demand;
Principal component analysis;
Temperature measurement;
Temperature sensors;
95.
A capacitive-coupling technique with phase noise and phase error reduction for multi-phase clock generation
机译:
具有相位噪声和相位误差减少功能的电容耦合技术,用于多相时钟生成
作者:
Zhao Feng
;
Dai Fa Foster
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Couplings;
Phase measurement;
Phase noise;
Tuning;
Voltage-controlled oscillators;
96.
A coprocessor for clock-mapping-based nearest Euclidean distance search with feature vector dimension adaptability
机译:
具有特征向量维适应性的基于时钟映射的最近欧式距离搜索的协处理器
作者:
An Fengwei
;
Akazawa Toshinobu
;
Yamazaki Shogo
;
Chen Lei
;
Mattausch Hans Jurgen
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Adders;
Clocks;
Coprocessors;
Euclidean distance;
Power dissipation;
Radiation detectors;
Vectors;
Nearest Euclidean distance search;
application flexibility;
clock reduction algorithm;
dimension-extension circuit;
pattern recognition;
97.
Impact of inductive integrated voltage regulator on the power attack vulnerability of encryption engines: A simulation study
机译:
电感集成稳压器对加密引擎电源攻击脆弱性的影响:仿真研究
作者:
Kar M.
;
Lie D.
;
Wolf M.
;
De V.
;
Mukhopadhyay S.
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Correlation;
Encryption;
Engines;
Frequency-domain analysis;
Load modeling;
Switches;
Time-domain analysis;
98.
A blind ADC-based CDR with digital data interpolation and adaptive CTLE and DFE
机译:
具有数字数据插值和自适应CTLE和DFE的基于ADC的盲CDR
作者:
Ting Clifford
;
Jalali Mohammad Sadegh
;
Sheikholeslami Ali
;
Kibune Masaya
;
Tamura Hirotaka
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Backplanes;
CMOS integrated circuits;
Decision feedback equalizers;
Interpolation;
Least squares approximations;
Loss measurement;
Receivers;
Adaptation;
blind ADC-based CDR;
data interpolator;
linear equalizer;
zero-forcing DFE;
99.
A 9-bit 215-MS/s folding-flash time-to-digital converter based on redundant remainder number system
机译:
基于冗余余数系统的9位215-MS / s折叠闪存时间数字转换器
作者:
Wu Bo
;
Zhu Shuang
;
Zhou Yuan
;
Chiu Yun
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
CMOS process;
Clocks;
Delays;
Prototypes;
Quantization (signal);
Radiation detectors;
Ring oscillators;
100.
Circuit techniques for miniaturized biomedical sensors
机译:
小型生物医学传感器的电路技术
作者:
Lee Inhee
;
Kim Yejoong
;
Bang Suyoung
;
Kim Gyouho
;
Ha Hyunsoo
;
Chen Yen-Po
;
Jeon Dongsuk
;
Jeong Seokhyun
;
Jung Wanyeong
;
Ghaed Mohammad Hassan
;
Foo Zhiyoong
;
Lee Yoonmyung
;
Sim Jae-Yoon
;
Sylvester Dennis
;
Blaauw David
会议名称:
《IEEE Custom Integrated Circuits Conference》
|
2014年
关键词:
Batteries;
Capacitors;
Monitoring;
Optical transmitters;
Photovoltaic cells;
Resistors;
Sensors;
意见反馈
回到顶部
回到首页