掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Quality Electronic Design (ISQED), 2010
Quality Electronic Design (ISQED), 2010
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Design methodology of variable latency adders with multistage function speculation
机译:
具有多阶段功能推测的可变等待时间加法器的设计方法
作者:
Yongpan Liu
;
Yinan Sun
;
Yihao Zhu
;
Huazhong Yang
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Design Methodology;
Multistage Function Speculation;
Variable Latency Adder;
2.
Accurate statistical soft error rate (SSER) analysis using a quasi-Monte Carlo framework with quality cell models
机译:
使用具有高质量单元模型的准蒙特卡洛框架进行准确的统计软错误率(SSER)分析
作者:
Yu-Hsin Kuo
;
Huan-Kai Peng
;
Wen C.H.-P.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
3.
Signal processing methods and hardware-structure for on-line characterization of thermal gradients in many-core processors
机译:
在线表征多核处理器中的温度梯度的信号处理方法和硬件结构
作者:
Cho M.
;
Mukhopadhyay S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Thermal gradients;
characterization;
signal processing;
4.
A convex optimization framework for leakage aware thermal provisioning in 3D multicore architectures
机译:
凸优化框架,用于3D多核体系结构中的泄漏感知热供应
作者:
Roy S.
;
Chakraborty K.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
3D integrated circuits;
convex optimization;
energy efficiency;
leakage;
multicore;
5.
Improving the process variation tolerability of flip-flops for UDSM circuit design
机译:
改善用于UDSM电路设计的触发器的过程变化容忍度
作者:
Eun Ju Hwang
;
Wook Kim
;
Young Hwan Kim
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Flip-flop;
Functional yield;
Process variation;
Tolerability;
Variability;
6.
Clock routing for structured ASICs with via-configurable fabrics
机译:
具有可通过通道配置的结构化的ASIC的时钟路由
作者:
Rung-Bin Lin
;
I-Wei Lee
;
Wen-Hao Chen
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Structured ASIC;
clock routing;
clock skew;
regular fabric;
via configurable;
7.
Analysis of power supply induced jitter in actively de-skewed multi-core systems
机译:
主动偏移的多核系统中电源引起的抖动分析
作者:
Chan D.
;
Guthaus M.R.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Multi-core;
clock distribution;
de-skewing;
8.
Analyzing and minimizing effects of temperature variation and NBTI on active leakage power of power-gated circuits
机译:
分析并最小化温度变化和NBTI对门控电路的有源泄漏功率的影响
作者:
Sinkar A.
;
Nam Sung Kim
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Negative bias temperature instability;
active leakage power;
power-gating;
process and temperature variations;
9.
Constraint analysis and debugging for multi-million instance SoC designs
机译:
数百万实例SoC设计的约束分析和调试
作者:
Long Fei
;
Mize L.
;
Cho Moon
;
Mullen B.
;
Singhal S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
10.
Variation aware guard -banding for SOC static timing analysis
机译:
SOC静态时序分析的变化感知保护带
作者:
Vee Kin Wong
;
Siong Kiong Teng
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Static timing analysis;
performance verification;
variation;
11.
Asymmetric issues of FinFET device after hot carrier injection and impact on digital and analog circuits
机译:
热载流子注入后FinFET器件的不对称问题及其对数字和模拟电路的影响
作者:
Chenyue Ma
;
Hao Wang
;
Xiufang Zhang
;
He F.
;
Yadong He
;
Xing Zhang
;
Xinnan Lin
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
FinFET;
asymmetric issues;
circuit simulation;
compact model;
hot carrier injection;
12.
A comprehensive model for gate delay under process variation and different driving and loading conditions
机译:
过程变化以及不同驱动和负载条件下的门延迟的综合模型
作者:
Mingzhi Gao
;
Zuochang Ye
;
Yao Peng
;
Yan Wang
;
Zhiping Yu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
comprehensive gate delay model;
effective dimension reduction;
process variation;
13.
Skew analysis and bounded skew constraint methodology for rotary clocking technology
机译:
旋转时钟技术的时滞分析和有界时滞约束方法
作者:
Honkote V.
;
Taskin B.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
14.
A MATLAB-based technique for defect level estimation using data mining of test fallout data versus fault coverage
机译:
基于MATLAB的缺陷级别估计技术,使用测试余量数据与故障覆盖率之间的数据挖掘
作者:
Chakraborty K.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Defective parts per million (PPM);
fallout data;
yield;
15.
Quality-driven methodology for demanding accelerator design
机译:
质量要求高的加速器设计方法
作者:
Jozwiak L.
;
Jan Y.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
architecture design;
combined macro- and micro-architecture design;
design-space exploration;
hardware accelerators;
16.
Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor
机译:
三维芯片多处理器的热感知作业分配和调度
作者:
Shaobo Liu
;
Jingyi Zhang
;
Qing Wu
;
Qinru Qiu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
chip multiprocessor;
job allocation;
scheduling;
thermal management;
three dimensional;
17.
High performance source optimization using a gradient-based method in optical lithography
机译:
在光刻中使用基于梯度的方法进行高性能光源优化
作者:
Yao Peng
;
Jinyu Zhang
;
Yan Wang
;
Zhiping Yu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Critical Dimension (CD);
DOF;
RET;
SMO;
Source optimization;
18.
Yield-constrained digital circuit sizing via sequential geometric programming
机译:
通过顺序几何编程确定产量受限制的数字电路
作者:
Yu Ben
;
El Ghaoui L.
;
Poolla K.
;
Spanos C.J.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
19.
Hot carrier effects on CMOS phase-locked loop frequency synthesizers
机译:
热载流子对CMOS锁相环频率合成器的影响
作者:
Yang Liu
;
Srivastava A.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
CMOS integrated circuit;
Phase-locked loops;
hot carrier effect;
jitter;
phase noise;
voltage-controlled oscillator;
20.
A novel all-digital fractional-N frequency synthesizer architecture with fast acquisition and low spur
机译:
具有快速采集和低杂散的新颖全数字分数N频率合成器架构
作者:
Jun Zhao
;
Yong-Bin Kim
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
21.
Assessing chip-level impact of double patterning lithography
机译:
评估双图案光刻的芯片级影响
作者:
Kwangok Jeong
;
Kahng A.B.
;
Topaloglu R.O.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
22.
Implementing self-testing and self-repairable analog circuits on field programmable analog array platforms
机译:
在现场可编程模拟阵列平台上实现自检和可修复的模拟电路
作者:
Mudhireddy V.N.
;
Ramamoorthy S.
;
Haibo Wang
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
23.
BSIM4-based lateral diode model for LNA co-designed with ESD protection circuit
机译:
基于BSIM4的LNA横向二极管模型与ESD保护电路共同设计
作者:
Ming-Ta Yang
;
Yang Du
;
Teng C.
;
Chang T.
;
Worley E.
;
Liao K.
;
You-Wen Yau
;
Yeap G.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
BSIM4;
CDM;
ESD;
LNA;
lateral diode;
24.
A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead
机译:
具有多端口功能的2端口6T SRAM位单元设计,减少了面积开销
作者:
Singh J.
;
Aswar D.S.
;
Mohanty S.P.
;
Pradhan D.K.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Multi-port SRAM;
Power Dissipation;
Static Noise Margin;
Static Random Access Memory;
25.
Clock buffer polarity assignment considering the effect of delay variations
机译:
考虑延迟变化影响的时钟缓冲器极性分配
作者:
Minseok Kang
;
Taewhan Kim
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Clock tree synthesis;
noise minimization;
polarity assignment;
timing variation;
26.
Linear time calculation of state-dependent power distribution network capacitance
机译:
状态相关配电网电容的线性时间计算
作者:
Hagiwara S.
;
Yamanaga K.
;
Takahashi R.
;
Masu K.
;
Sato T.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
capacitance;
electromagnetic interference;
integrated circuit modeling;
power distribution network;
state-dependency;
27.
Asymmetric 6T SRAM with two-phase write and split bitline differential sensing for low voltage operation
机译:
非对称6T SRAM,具有两相写和分离位线差分检测功能,可实现低压操作
作者:
Nalam S.
;
Chandra V.
;
Pietrzyk C.
;
Aitken R.C.
;
Calhoun B.H.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
28.
Toward effective utilization of timing exceptions in design optimization
机译:
为了在设计优化中有效利用时序异常
作者:
Kwangok Jeong
;
Kahng A.B.
;
Seokhyeong Kang
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
29.
Useful clock skew optimization under a multi-corner multi-mode design framework
机译:
在多角多模式设计框架下有用的时钟偏斜优化
作者:
Weixiang Shen
;
Yici Cai
;
Wei Chen
;
Yongqiang Lu
;
Qiang Zhou
;
Jiang Hu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Useful clock skew;
multi-corner multimode;
30.
A robust and low power dual data rate (DDR) flip-flop using c-elements
机译:
使用c元件的强大,低功耗双数据速率(DDR)触发器
作者:
Devarapalli S.V.
;
Zarkesh-Ha P.
;
Suddarth S.C.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
31.
A low power charge-redistribution ADC with reduced capacitor array
机译:
具有减少的电容器阵列的低功耗电荷分配ADC
作者:
Kandala M.
;
Sekar R.
;
Chenglong Zhang
;
Haibo Wang
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
32.
Leakage current analysis for intra-chip wireless interconnects
机译:
芯片内无线互连的漏电流分析
作者:
More A.
;
Taskin B.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
33.
Optimizing power and throughput for m-out-of-n encoded asynchronous circuits
机译:
为n出n编码的异步电路优化功率和吞吐量
作者:
Jun Xu
;
Ge Zhang
;
Weiwu Hu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Asynchronous Circuits;
Leakage Power;
Performance Optimization;
Power Gating;
34.
Adaptive power gating for function units in a microprocessor
机译:
微处理器中功能单元的自适应电源门控
作者:
Usami K.
;
Hashida T.
;
Koyama S.
;
Yamamoto T.
;
Ikebuchi D.
;
Amano H.
;
Namiki M.
;
Kondo M.
;
Nakamura H.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Power gating;
adaptive;
function unit;
leakage;
temperature;
35.
A dual-level adaptive supply voltage system for variation resilience
机译:
用于变化弹性的双级自适应电源电压系统
作者:
Kyu-Nam Shim
;
Jiang Hu
;
Silva-Martinez J.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
36.
Simultaneous extraction of effective gate length and low-field mobility in non-uniform devices
机译:
同时提取非均匀器件中的有效栅极长度和低场迁移率
作者:
Joshi V.
;
Agarwal K.
;
Sylvester D.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
37.
Robust importance sampling for efficient SRAM yield analysis
机译:
强大的重要性采样,可进行高效的SRAM良率分析
作者:
Date T.
;
Hagiwara S.
;
Masu K.
;
Sato T.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Monte Carlo;
SRAM;
failure probability;
hypersphere sampling;
importance sampling;
mean shift;
noise margin;
norm minimization;
yield analysis;
38.
An accurate modeling method utilizing application-specific statistical information and its application to SRAM yield estimation
机译:
利用专用统计信息的精确建模方法及其在SRAM产量估算中的应用
作者:
Matsuoka H.
;
Ikeda H.
;
Higuchi H.
;
Tomita Y.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
DFM;
Polynomial;
RSM;
SRAM;
Variation;
Yield;
39.
Statistical static timing analysis flow for transistor level macros in a microprocessor
机译:
微处理器中晶体管级宏的统计静态时序分析流程
作者:
Nandakumar V.S.
;
Newmark D.
;
Yaping Zhan
;
Marek-Sadowska M.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Monte-Carlo simulations;
Statistical Static Timing Analysis (SSTA);
transistor level macros;
40.
Limits of bias based assist methods in nano-scale 6T SRAM
机译:
纳米级6T SRAM中基于偏置的辅助方法的局限性
作者:
Mann R.W.
;
Nalam S.
;
Jiajing Wang
;
Calhoun B.H.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
41.
Variability resilient low-power 7T-SRAM design for nano-scaled technologies
机译:
纳米级技术的可变性低功耗7T-SRAM设计
作者:
Azam T.
;
Cheng B.
;
Cumming D.R.S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
SNM;
SRAM;
Variability;
power;
speed;
stability;
42.
A framework for logic-aware layout analysis
机译:
逻辑感知布局分析框架
作者:
Gibson P.
;
Ziyang Lu
;
Pikus F.
;
Srinivasan S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
43.
Preliminary call for papers
机译:
初步征文
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
44.
Notes
机译:
笔记
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
45.
Adaptive HCI-aware power gating structure
机译:
自适应HCI感知电源门控结构
作者:
Kyung Ki Kim
;
Haiqing Nan
;
Choi K.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Hot-carrier-Injection (HCI);
power gating;
reliability;
46.
Call for papers
机译:
征集论文
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
47.
Soft error rate determination for nanoscale sequential logic
机译:
纳米级顺序逻辑的软错误率确定
作者:
Fan Wang
;
Agrawal V.D.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
FIT rate;
SER;
SEU;
Soft error;
sequential circuits;
48.
ISQED 2010 best papers
机译:
ISQED 2010最佳论文
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
49.
ISQED 2010 fellow award recipient
机译:
ISQED 2010获奖者
作者:
De Venuto Daniela
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
50.
Ultra low-voltage, rail-to-rail input/output stage Operational Transconductance Amplifier (OTA) with high linearity and its application in a Gm-C filter
机译:
具有高线性度的超低压,轨到轨输入/输出级运算跨导放大器(OTA)及其在Gm-C滤波器中的应用
作者:
Rezaei F.
;
Azhari S.J.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
51.
Welcome to ISQED 2010
机译:
欢迎来到ISQED 2010
作者:
Gadepally Kamesh
;
Immaneni Lalitha
;
Chatterjee Pallab
;
Alexiou George
;
Iranmanesh Ali
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
52.
ISQED quality award recipient (IQ-Award 2010)
机译:
ISQED质量奖获得者(IQ-Award 2010)
作者:
Chua Leon O.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
53.
OBT implementation on an OTA-C band-pass filter
机译:
OTA-C带通滤波器上的OBT实现
作者:
Petrashin P.
;
Peretti G.
;
Romero E.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
OTA-C filter;
Oscillation based test;
continuous time filters;
fault simulation;
testing;
54.
Title page
机译:
封面
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
55.
Copyright
机译:
版权
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
56.
Fast block-iterative domain decomposition algorithm for IR drop analysis in large power grid
机译:
大电网IR降分析的快速块迭代域分解算法
作者:
Yu Zhong
;
Wong M.D.F.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
57.
A non-parametric approach to behavioral device modeling
机译:
行为设备建模的非参数方法
作者:
Drmanac D.
;
Bolin B.
;
Wang L.-C.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
58.
Robust gate sizing by Uncertainty Second Order Cone
机译:
不确定性二阶锥的鲁棒门尺寸
作者:
Jin Sun
;
Wang J.
会议名称:
《》
|
2010年
关键词:
Geometric Program (GP);
Robust Gate Sizing;
Uncertainty Second Order Cone (USOC);
59.
Is built-in logic redundancy ready for prime time?
机译:
内置逻辑冗余是否已准备就绪?
作者:
Allsup C.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
60.
A novel two-dimensional scan-control scheme for test-cost reduction
机译:
降低测试成本的新型二维扫描控制方案
作者:
Chia-Yi Lin
;
Hung-Ming Chen
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Scan chain;
Test-cost;
low power;
61.
Variation-aware speed binning of multi-core processors
机译:
多核处理器的变化感知速度合并
作者:
Sartori J.
;
Pant A.
;
Kumar R.
;
Gupta P.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Binning;
Multi-core;
Performance;
Process Variations;
62.
Use of scalable Parametric Measurement Macro to improve semiconductor technology characterization and product test
机译:
使用可扩展的参数测量宏来改善半导体技术特性和产品测试
作者:
Bickford J.
;
Habib N.
;
Goss J.
;
McMahon R.
;
Joshi R.V.
;
Kanj R.N.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
63.
Accurate multi-specification DPPM estimation using layered sampling based simulation
机译:
使用基于分层采样的模拟进行准确的多规范DPPM估计
作者:
Yilmaz E.
会议名称:
《》
|
2010年
64.
Scalability of PCMO-based resistive switch device in DSM technologies
机译:
DSM技术中基于PCMO的电阻式开关设备的可扩展性
作者:
Yiran Chen
;
Wei Tian
;
Hai Li
;
Xiaobin Wang
;
Wenzhong Zhu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
65.
Accelerating trace computation in post-silicon debug
机译:
在硅后调试中加速跟踪计算
作者:
Kuan J.J.W.
;
Wilton S.J.E.
;
Aamodt T.M.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
66.
Structural fault collapsing by superposition of BDDs for test generation in digital circuits
机译:
通过叠加BDD进行结构故障折叠以在数字电路中生成测试
作者:
Ubar R.
;
Mironov D.
;
Raik J.
;
Jutman A.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Digital circuits;
SSBDDs with multiple inputs;
Structurally Synthesized BDDs;
fault collapsing;
fault equivalence and dominance;
test generation;
67.
A novel probabilistic SET propagation method
机译:
一种新的概率SET传播方法
作者:
Gangadhar S.
;
Tragoudas S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
68.
A yield improvement methodology based on logic redundant repair with a repairable scan flip-flop designed by push rule
机译:
基于逻辑冗余修复和推式规则设计的可修复扫描触发器的良率提高方法
作者:
Kurimoto M.
;
Matsushima J.
;
Ohbayashi S.
;
Fukui Y.
;
Komoda M.
;
Tsuda N.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
69.
A fault-tolerant structure for reliable multi-core systems based on hardware-software co-design
机译:
基于软硬件协同设计的可靠多核系统容错结构
作者:
Bingbing Xia
;
Fei Qiao
;
Huazhong Yang
;
Hui Wang
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Fault-tolerant;
Hardware-software Co-design;
Multi-core;
70.
“Condition-based” dummy fill insertion method based on ECP and CMP predictive models
机译:
基于ECP和CMP预测模型的“基于条件的”虚拟填充插入方法
作者:
Nitta I.
;
Kanazawa Y.
;
Fukuda D.
;
Shibuya T.
;
Idani N.
;
Ito M.
;
Yamasaki O.
;
Harada N.
;
Hiramoto T.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Chemical Mechanical Polishing (CMP);
Design for Manufacturability;
Dummy fill;
71.
Analysis and modeling of a Low Voltage Triggered SCR ESD protection clamp with the very fast Transmission Line Pulse measurement
机译:
具有非常快速的传输线脉冲测量功能的低压触发SCR ESD保护钳的分析和建模
作者:
Jae-Young Park
;
Jong-Kyu Song
;
Chang-Soo Jang
;
Young-Sang Son
;
Dae-Woo Kim
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
ElectroStatic Discharge (ESD);
Silicon Controlled Rectifier;
Very Fast Transmission Line Pulse Measurement;
compact model;
72.
On the design of different concurrent EDC schemes for S-Box and GF(p)
机译:
关于S-Box和GF(p)的不同并发EDC方案的设计
作者:
Mathew J.
;
Rahaman H.
;
Jabir A.M.
;
Mohanty S.P.
;
Pradhan D.K.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
73.
SQED 2010 organizing committee
机译:
SQED 2010组委会
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
74.
P3 (power-performance-process) optimization of nano-CMOS SRAMusing statistical DOE-ILP
机译:
使用统计DOE-ILP对纳米CMOS SRAM进行P3(功率性能处理)优化
作者:
Thakral G.
;
Mohanty S.P.
;
Ghai D.
;
Pradhan D.K.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Circuit Optimization;
Nanoscale CMOS;
Power;
Process Variation;
Static Noise Margin;
Static Random Access Memory;
75.
Notes
机译:
笔记
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
76.
Coprocessor design space exploration using high level synthesis
机译:
使用高级综合的协处理器设计空间探索
作者:
Lakshminarayana A.
;
Ahuja S.
;
Shukla S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
77.
Methodology from chaos in IC implementation
机译:
IC实施中的混乱方法
作者:
Kwangok Jeong
;
Kahng A.B.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
78.
Notes
机译:
笔记
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
79.
Reliability analysis of analog circuits by lifetime yield prediction using worst-case distance degradation rate
机译:
通过使用最坏情况下的距离退化率的寿命成品率预测对模拟电路进行可靠性分析
作者:
Xin Pan
;
Graeb H.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
80.
The compatibility analysis of thread migration and DVFS in multi-core processor
机译:
多核处理器中线程迁移与DVFS的兼容性分析
作者:
Dongkeun Oh
;
Chen C.C.P.
;
Nam Sung Kim
;
Yu Hen Hu
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Multi-core processor;
dynamic voltage and frequency scaling;
thermal simulation;
thread-migration;
81.
Analog behavioral modeling flow using statistical learning method
机译:
使用统计学习方法的模拟行为建模流程
作者:
Hui Li
;
Mansour M.
;
Maturi S.
;
Wang L.-C.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
82.
Measurement circuits for acquiring SET pulsewidth distribution with sub-FO1-inverter-delay resolution
机译:
用于以亚FO1逆变器延迟分辨率获取SET脉宽分布的测量电路
作者:
Harada R.
;
Mitsuyama Y.
;
Hashimoto M.
;
Onoye T.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
83.
Design of a fault-tolerant coarse-grained
机译:
容错粗粒度的设计
作者:
Jafri S.M.A.H.
;
Piestrak S.J.
;
Sentieys O.
;
Pillement S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
84.
Comparative analysis and study of metastability on high-performance flip-flops
机译:
高性能触发器的亚稳态比较分析和研究
作者:
Li D.
;
Chuang P.
;
Sachdev M.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
85.
Interconnect delay and slew metrics using the extreme value distribution
机译:
使用极值分布实现互连延迟和转换指标
作者:
Zeng Jun-Kuei
;
Chen Chung-Ping
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Delay;
Extreme Value Distribution;
Interconnect;
Slew;
Statistical;
86.
A revisit to the primal-dual based clock skew scheduling algorithm
机译:
重温基于对偶的时钟偏斜调度算法
作者:
Min Ni
;
Memik S.O.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Clock Skew Scheduling;
Optimization;
Primal-Dual;
Sequential Circuit;
87.
Clock buffer polarity assignment considering capacitive load
机译:
考虑电容负载的时钟缓冲器极性分配
作者:
Jianchao Lu
;
Taskin B.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Clock network;
polarity assignment;
88.
A low power clock network placement framework
机译:
低功耗时钟网络放置框架
作者:
Dawei Liu
;
Qiang Zhou
;
Yongqiang Lv
;
Jinian Bian
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
89.
Hellfire: A design framework for critical embedded systems' applications
机译:
Hellfire:关键嵌入式系统应用程序的设计框架
作者:
Aguiar A.
;
Filho S.J.
;
Magalhaes F.G.
;
Casagrande T.D.
;
Hessel F.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Embedded Systems Design;
HW/SW Co-design;
MPSoC;
90.
Slack allocation for yield improvement in NoC-based MPSoCs
机译:
松弛分配以提高基于NoC的MPSoC的良率
作者:
Meyer B.H.
;
Hartman A.S.
;
Thomas D.E.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
System-level design;
manufacturability;
slack allocation;
yield optimization;
91.
Power-yield optimization in MPSoC task scheduling under process variation
机译:
工艺变化下MPSoC任务调度中的功率优化
作者:
Momtazpour M.
;
Sanaei E.
;
Goudarzi M.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
MPSoC;
Process variation;
Task scheduling;
92.
Modeling and verification of industrial flash memories
机译:
工业闪存的建模和验证
作者:
Ray S.
;
Bhadra J.
;
Portlock T.
;
Syzdek R.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
equivalence checking;
formal analysis;
simulation;
spice;
theorem proving;
93.
Auto-BET-AMS: An automated device and circuit optimization platform to benchmark emerging technologies for performance and variability using an analog and mixed-signal design framework
机译:
Auto-BET-AMS:一种自动设备和电路优化平台,可使用模拟和混合信号设计框架对新兴技术的性能和可变性进行基准测试
作者:
Sachid A.B.
;
Thakker R.A.
;
Sathe C.
;
Baghini M.S.
;
Sharma D.K.
;
Ramgopal Rao V.
;
Patil M.B.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
94.
UC-PHOTON: A novel hybrid photonic network-on-chip for multiple use-case applications
机译:
UC-PHOTON:一种新颖的混合光子片上网络,可用于多种用例应用
作者:
Bahirat S.
;
Pasricha S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
95.
Layout-aware Illinois Scan design for high fault coverage coverage
机译:
具有布局意识的伊利诺伊州扫描设计,可实现较高的故障覆盖率
作者:
Banerjee S.
;
Mathew J.
;
Pradhan D.K.
;
Mohanty S.P.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
96.
Multi-degree smoother for low power consumption in single and multiple scan-chains BIST
机译:
多级平滑器可在单个和多个扫描链BIST中实现低功耗
作者:
Abu-Issa A.S.
;
Quigley S.F.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
built-in self-test (BIST);
linear feedback shift register (LFSR);
low power test;
scan-based test;
single and multiple scan-chains;
weighted switching activity (WSA);
97.
Multiplexed trace signal selection using non-trivial implication-based correlation
机译:
使用基于非平凡蕴涵的相关性进行多路跟踪信号选择
作者:
Prabhakar S.
;
Hsiao M.S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
98.
Signal probability control for relieving NBTI in SRAM cells
机译:
减轻SRAM单元NBTI的信号概率控制
作者:
Kunitake Y.
;
Sato T.
;
Yasuura H.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
NBTI;
SRAM;
register file;
signal probability;
99.
Early-stage determination of current-density criticality in interconnects
机译:
互连中电流密度临界的早期确定
作者:
Jerke G.
;
Lienig J.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
100.
Automated silicon debug data analysis techniques for a hardware data acquisition environment
机译:
用于硬件数据采集环境的自动化硅调试数据分析技术
作者:
Yu-Shen Yang
;
Keng B.
;
Nicolici N.
;
Veneris A.
;
Safarpour S.
会议名称:
《Quality Electronic Design (ISQED), 2010》
|
2010年
关键词:
Silicon debug;
data acquisition setup;
post-silicon diagnosis;
意见反馈
回到顶部
回到首页