首页> 外文会议>Quality Electronic Design (ISQED), 2010 >Useful clock skew optimization under a multi-corner multi-mode design framework
【24h】

Useful clock skew optimization under a multi-corner multi-mode design framework

机译:在多角多模式设计框架下有用的时钟偏斜优化

获取原文

摘要

As VLSI technology scales into sub-65 nm realm, the complexity of timing optimization is drastically increased by the consideration of power and variations. Even though designers make great efforts during physical design, they are often faced with still heavy timing violations in deep post-routing stages. For the entire design convergence and timing closure, especially under current multi-corner multi-mode design, some more efficient methods need to be invented. In this work, we propose to address such a kind of issue by exploiting useful clock skew, which can help reduce timing violations rapidly. We also add mode/corner metric balancing measurements to make this method more flexible and applicable especially in such deep stages while the CTS is ready. The results indicate that our method can achieve an average improvements of 33.16% on the worst slack (WS) and 75.56% on the total negative slack (TNS), respectively.
机译:随着VLSI技术扩展到低于65 nm的领域,由于考虑到功率和变化,时序优化的复杂性急剧增加。尽管设计师在物理设计中付出了巨大的努力,但在深层布线后阶段,他们仍经常面临严重的时序违规问题。对于整个设计收敛和时序收敛,特别是在当前的多角多模式设计下,需要发明一些更有效的方法。在这项工作中,我们建议通过利用有用的时钟偏斜来解决此类问题,这可以帮助快速减少时序冲突。我们还添加了模式/拐角度量平衡测量,以使此方法更灵活,更适用,尤其是在CTS准备就绪时的此类深阶段。结果表明,我们的方法在最差松弛(WS)和总负松弛(TNS)上可以分别平均提高33.16%和75.56%。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号