掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology
Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology
召开年:
2001
召开地:
Monterey, CA(US);Monterey, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Alternating PSM defect printability at 193-nm wavelength
机译:
在193 nm波长处具有交替的PSM缺陷可印刷性
作者:
Chih-Cheng Lin
;
Young-Sik Kim
;
Kurt R. Kimmel
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
defect;
alternating;
PSM;
printability;
193 nm;
lithography;
2.
An Endpoint Solution for Photomask Chrome Loads Down to 0.25
机译:
用于光掩模的端点解决方案Chrome的负载低至0.25%
作者:
Melisa J. Buie
;
Brigitte Stoehr
;
Alex Buxbaum
;
Guenther Ruhl
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
cr etch;
optical emission spectroscopy;
OES;
endpoint detection;
3.
Analysis of dry etch loading effect in mask fabrication
机译:
掩模制造中的干法刻蚀加载效应分析
作者:
Jeong-Yun Lee
;
Sung-Yong Cho
;
Chang-Hwan Kim
;
Sung-Woo Lee
;
Sung-Woon Choi
;
Woo-Sung Han
;
Jung-Min Sohn
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
macroloading;
CD uniformity;
loading effect;
loading height;
selectivity;
Cr;
4.
ArF (193nm) alternating aperture PSM quartz defect repair and printability for 100nm node
机译:
ArF(193nm)交替孔径PSM石英缺陷修复和100nm节点可印刷性
作者:
Jerry X. Chen
;
John Riddick
;
Matthew Lamantia
;
Azeddine Zerrade
;
Robert K. Henderson
;
Greg Hughes
;
Cyrus Tabery
;
Khoi Phan
;
Christopher Spence
;
Amy Winder
;
Bill Stanton
;
Eugene Delarosa
;
John G.Maltabes
;
Cce Philbin
;
Lloyd C.Litt
;
Anthony Vacca
;
Scott Pom
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
193nm alternating PSM;
repair;
printability;
193nm lithography;
5.
AutoMOPS: B2B and B2C in mask making. Mask manufacturing performance and customer satisfaction improvement through better information flow management by using generic models and standardised languages
机译:
AutoMOPS:掩膜制作中的B2B和B2C。通过使用通用模型和标准化语言,通过更好的信息流管理来掩盖制造性能并提高客户满意度
作者:
Olaf Filies
;
Luc de Ridder
;
Ben Rodriguez
;
Aart Kujiken
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
AutoMOPS automated mask ordering B2B B2C generic meta-model;
6.
Binary Mask Defect Printability for 130-nm ArF Lithography
机译:
130 nm ArF光刻的二元掩模缺陷可印刷性
作者:
S. C. Lin
;
J. H. Chen
;
T. H. Hsu
;
Johnson C. C. Hung
;
John C. H. Lin
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
CD control;
reticle inspection;
defect sensitivity monitor (DSM) reticle;
defect printability;
7.
CARs blanks feasibility study results for the advanced EB reticle fabrication (IV)
机译:
CAR取消了先进EB掩模版制造的可行性研究结果(IV)
作者:
Masahiro Hashimoto
;
Fumiko Ohta
;
Yasunori Yokoya
;
Hideo Kobayashi
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photomask;
reticle;
blanks;
CAR (chemically amplified resist);
PSB (post-spin baking);
PEB (post-exposure baking);
PCD (post-coating delay);
PED (post-exposure delay);
blanks packaging;
storage;
spray-develop damage;
8.
Challenge for Sub-100-nm DRAM Gate Printing Using ArF Lithography with Combination of Moderate OAI and attPSM
机译:
结合中等OAI和atPSPSM的ArF光刻技术对100nm以下DRAM栅极印刷的挑战
作者:
Young-Chang Kim
;
Geert Vandenberghe
;
Staf Verhaegen
;
Kurt Ronse
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
ArF;
resolution enhancement;
100nm node;
off-axis illumination;
attenuated PSM;
mask error;
MEEF;
9.
Characterization and etching of sputter deposited absorber films for extreme ultraviolet lithography (EUVL) masks
机译:
极紫外光刻(EUVL)掩模的溅射沉积吸收膜的特性和蚀刻
作者:
Kenneth C. Racette
;
Carey T. Williams
;
Michael J. Lercel
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
next generation lithography;
extreme ultraviolet lithography;
EUVL;
sputter deposition;
chromium;
tantalum nitride;
TaN_x;
absorber;
etch;
10.
Characterization of Assist Features on impact of mask error enhancement factors for sub-0.13um technology
机译:
0.13um以下技术的辅助功能特性对掩模误差增强因子的影响
作者:
Sia Kim Tan
;
Qunying Lin
;
Cho Jui Tay
;
Chenggen Quan
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
phase-shift mask;
MEEF;
scattering bars;
assist features;
0.12um;
11.
Chrome Etch for <0.13 μm Advanced Reticle Production
机译:
Chrome Etch,用于<0.13μm的高级光罩生产
作者:
Melisa J. Buie
;
Brigitte Stoehr
;
Yi-Chiau Huang
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photomask etch;
chrome etch;
plasma etch;
12.
Cleaning of photomask substrates using CO_2 snow
机译:
使用CO_2雪清洁光罩基材
作者:
Werner V. Brandt
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photomask;
cleaning;
CO_2;
snow;
particles;
sub-micron;
binary;
phase-shift;
dry;
environment;
13.
Comparison of 2-D Measurement Methodologies and Their Viability in a Manufacturing Environment
机译:
二维测量方法的比较及其在制造环境中的可行性
作者:
Bryan S. Kasprowicz
;
Darren Taylor
;
Michael E.Hathorn
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
14.
Comparison of Contact Hole Definition using Laser and Shaped E-Beam Mask Writers and its Influence on Wafer Level Pattern Fidelity
机译:
激光和定形电子束掩模写入器接触孔定义的比较及其对晶圆级图案保真度的影响
作者:
B. Martin
;
R. Lloyd
;
G.Davies
;
G.Arthur
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
15.
Contribution of Polychromatic Illumination to Optical Proximity Effects in the Context of Deep-UV Lithography
机译:
深紫外光刻技术中多色照明对光学邻近效应的贡献
作者:
Armen Kroyan
;
Ivan Lalovic
;
Nigel Farrar
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
optical proximity effects;
laser bandwidth;
chromatic aberrations;
16.
Defects analysis of mask blanks
机译:
口罩毛坯的缺陷分析
作者:
Dong Heok Lee
;
Dae Woo Kim
;
Jung Kwan Lee
;
Woo Gun Jeong
;
Sang-Soo Choi
;
Sung Mo Jung
;
Soo Hong Jeong
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
AIMS;
SEM;
quartz defect;
transmission;
wavelength;
17.
Dry Etching Characteristics of Attenuated phase-shifting masks using C1-2 /CF_4/O_2/He Plasmas
机译:
使用C1-2 / CF_4 / O_2 / He等离子体的衰减相移掩模的干刻蚀特性
作者:
Se-Jong Choi
;
Han-Sun Cha
;
Si-Yeul Yoon
;
Sung-Mo Jung
;
Sang-Soo Choi
;
Soo-Hong Jeong
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
Att. PSM;
ICP;
C1_2;
MoSiON;
anisotropy;
18.
EPL Reticle Technology
机译:
EPL光罩技术
作者:
Norihiro Katakura
;
Shin-ichi Takahashi
;
Masashi Okada
;
Sumito Shimizu
;
Shintaro Kawata
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
electron beam projection lithography (EPL);
EB stepper;
scattering silicon stencil type;
silicon stencil reticle;
silicon-on-insulator (SOI) wafer;
grid-grillage structure;
stress-controlled membranes;
cleaning;
inspection;
repair;
19.
Establishing a cleaning process for attenuated phase-shift masks
机译:
建立衰减相移掩模的清洁工艺
作者:
Thomas White
;
Larry Watson
;
Chris Currington
;
Mary Ann Reyna
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
reticle;
attenuating;
phase-shift;
cleaning;
PSM;
20.
Evaluation of various alternating phase shifting mask processes for KrF lithography
机译:
评估KrF光刻的各种交替相移掩模工艺
作者:
Si-Yeul Yoon
;
Han-Sun Cha
;
Se-Jong Choi
;
Sung-Mo Jung
;
Sang-Soo Choi
;
Soo Hong Jeong
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
alternating PSM;
photomask fabrication;
dry etch;
wet etch;
undercut;
dual trench;
single trench;
21.
Extended Chamber Matching and Repeatability Study for Chrome Etch
机译:
铬蚀刻的扩展腔室匹配和可重复性研究
作者:
Yi-Chiau Huang
;
Melisa Buie
;
Brigitte Stoehr
;
Alex Buxbaum
;
Guenther Ruhl
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
cr etch;
optical emission spectroscopy;
OES;
endpoint detection;
photomask etch;
chrome;
plasma etch;
22.
Extending the Performance of KRS-XE for High Throughput Electron Beam Lithography for Advanced Mask Making
机译:
扩展用于高级掩模制造的高通量电子束光刻的KRS-XE性能
作者:
David R. Medeiros
;
Karen E. Petrillo
;
James Bucchignano
;
Marie Angelopoulos
;
Wu-Song Huang
;
Wenjie Li
;
Wayne M. Moreau
;
Robert Lang
;
Ranee Kwong
;
Christopher Magg
;
Brian Ashe
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photomask;
electron beam;
resist;
chemically amplified;
23.
Feasibility study of manufacturing process and quality control for the new Alternating PSM structure
机译:
新型交替PSM结构的制造过程和质量控制的可行性研究
作者:
Yasutaka Morikawa
;
Haruo Kokubo
;
Masaharu Nishiguchi
;
Masami Nara
;
Yousuke Totsu
;
Morihisa Hoga
;
Naoya Hayashi
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
alternating phase-shifting mask;
side-wall chrome alternating aperture mask;
SCAAM;
undercut structure;
resolution enhancement technique;
24.
Half-tone PSM Inspection Sensitivity of 257nm Light Source MC-3000
机译:
257nm光源MC-3000的半色调PSM检查灵敏度
作者:
Hideo Tsuchiya
;
Kyoji Yamashita
;
Shinji Sugihara
;
Takeshi Fujiwara
;
Ryoji Yoshikawa
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photolithography mask;
phase shift mask;
defect;
inspection system;
defect sensitive;
25.
Hierarchical GDSII-based fracturing and job deck system
机译:
基于GDSII的分层压裂和作业平台系统
作者:
Nick Cobb
;
Emile Sahouria
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
mask data preparation;
fracturing;
hierarchy;
GDSII;
26.
High transmittance attenuated phase shifting mask of chromium aluminum oxynitride
机译:
氮氧化铬铝的高透射率衰减相移掩模
作者:
Eunchul Choi
;
Eunah Kim
;
Hyoungdo Kim
;
Yonghoon Kim
;
Huyong Tian
;
Kwangsoo No
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
chromium aluminum oxynitride;
phase shift mask;
refractive index;
extinction coefficient;
27.
Illumination spectral width impacts on mask error enhancement factor and iso-dense bias in 0.6NA KrF imaging
机译:
照明光谱宽度对0.6NA KrF成像中的掩模误差增强因子和等密度偏差的影响
作者:
Ivan Lalovic
;
Armen Kroyan
;
Paolo Zambon
;
Christopher Silsby
;
Nigel Farrar
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
lithography;
excimer laser bandwidth;
chromatic aberrations;
optical proximity correction;
mask error enhancement factor;
28.
Imaging Quality Analysis Using Direct Monte Carlo Simulation and CAR Reaction Model in Mask Fabrication
机译:
直接蒙特卡罗模拟和CAR反应模型在掩模制造中的成像质量分析
作者:
Takeshi Ohfuji
;
Naoko Kuwahara
;
Masa-aki Kurihara
;
Naoki Kitano
;
Shigekazu Fujimoto
;
Naoya Hayashi
;
David H Hwang
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
simulation;
EB;
resist;
MonteCalro;
chemically amplification resist;
edge roughness;
29.
Impact of Graybeam Method of Virtual Address Reduction on Image Quality
机译:
减少虚拟地址的Graybeam方法对图像质量的影响
作者:
Chris A. Mack
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
graybeam address grid;
raster scan imaging;
image quality;
30.
Impact on wafer process of sub-120nm design rule mask
机译:
120nm以下设计规则掩模对晶圆工艺的影响
作者:
Young-Mog Ham
;
Sang Sool Koo
;
Sang Jin Kim
;
Won-Kang Ma
;
Ki-Soo Shin
会议名称:
《》
|
2002年
关键词:
low k_1;
CD variation;
MEF;
OPC APSM;
process margin;
exposure condition;
thinning;
repeating defect;
31.
Improvement of Alt-PSM Production Process Using Backside Phase Measurement Method
机译:
背面相测量法改进Alt-PSM生产工艺
作者:
Hidetaka Saitou
;
Yasuhiro Koizumi
;
Syuichi Sanki
;
Tatsuhiko Kamibayashi
;
Shiaki Murai
;
Hiroyuki Miyashita
;
Hiroshi Fujita
;
Yasutaka Morikawa
;
Masami Nara
;
Naoya Hayashi
;
Morihisa Hoga
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
alternating phase shift mask;
phase-angle control;
quartz etching process;
AIMS simulation;
32.
Inspection of EAPSMs mask for 193-nm technology generation using a UV-based 365-nm reticle inspection tool
机译:
使用基于紫外线的365 nm标线检查工具对EAPSM掩模进行193 nm技术一代的检查
作者:
Michael Har-zvi
;
Roman Liebe
;
Anja Rosenbusch
;
Gidi Gottlib
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
EAPSM;
EAPSM inspection;
attenuated phase shift mask;
mask inspection;
LBM;
light calibration;
33.
Investigation of MoSi Etch Processes for Embedded Attenuating Phase Shift Mask Applications Utilizing a Next Generation ICP Source
机译:
利用下一代ICP源的嵌入式衰减相移掩模应用MoSi蚀刻工艺的研究
作者:
J. Plumhoff
;
C. Constantine
;
C. Strawn
;
J. Shin
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
34.
Low surface energy polymeric release coating for improved contact print lithography
机译:
低表面能聚合物剥离涂料,可改善接触印刷平版印刷
作者:
David P. Mancini
;
Douglas J. Resnick
;
Kathleen A. Gehoski
;
Laura L. Popovich
;
Daniel Y. Chang
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
contact print;
lithography;
release agent;
teflon~(~R) AF;
defectivity;
surface energy;
35.
Mask-related distortions of modified fused silica reticles for 157-nm lithography
机译:
用于157 nm光刻的改性熔融石英掩模版的掩模相关变形
作者:
Andrew R. Mikkelson
;
Amr Y. Abdo
;
Eric P. Cotte
;
Jaewoong Sohn
;
Roxann L. Engelstad
;
Edward G. Lovell
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
pellicle;
photomask;
157-nm lithography;
film stress;
in-plane distortions;
out-of-plane distortions;
finite element analysis;
36.
MEEF as a matrix
机译:
MEEF作为矩阵
作者:
Yuri Granik
;
Nick Cobb
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
mask error enhancement factor;
mask error factor;
OPC;
lithography;
photomask;
37.
Methodology for Accurate and Rapid Simulation of Large Arbitrary 2D Layouts of Advanced Photomasks
机译:
精确,快速模拟高级光掩模大尺寸任意2D布局的方法
作者:
Konstantinos Adam
;
Andrew R. Neureuther
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
domain decomposition method;
edge-diffraction;
phase-shift mask modeling;
diffraction order;
38.
Multilayer coating requirements for extreme ultraviolet lithography masks
机译:
极紫外光刻掩模的多层涂层要求
作者:
Scott D. Hector
;
Eric M. Gullikson
;
Paul Mirkarimi
;
Eberhard Spiller
;
Patrick Kearney
;
James Folta
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
extreme ultraviolet lithography;
masks;
mask blanks;
multilayer coatings;
error budgets;
39.
New Photomask Patterning Method based on KrF Stepper
机译:
基于KrF步进器的光掩模构图新方法
作者:
Tae-Joong Ha
;
Yong-Kyoo Choi
;
Oscar Han
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photo repeater;
KrF stepper;
registration;
CD uniformity and field size;
40.
Non-Contact Electrical Critical Dimensions Metrology Sensor for Chrome Photomasks
机译:
铬光掩模的非接触电气关键尺寸计量传感器
作者:
Nadine Guillaume
;
Markku Lahti
;
Michael Cresswell
;
Richard Allen
;
Loren Linholm
;
Mona Zaghloul
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
non-contact capacitance sensor;
photomask metrology;
low temperature Co-Fired ceramic technology (LTCC);
critical dimensions (CDs);
linewidth;
test structures;
41.
150nm Dense/Isolated Contact Hole Study with Canon IDEAL Technique
机译:
使用佳能IDEAL技术进行150nm密集/隔离接触孔研究
作者:
Takeaki Ebihara
;
Peter Rhyins
;
Toshihiro Oga
;
Pat Martin
;
Monika Sweis
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
IDEAL;
PSM;
contact hole;
double exposure;
42.
A leap ahead in mask data processing for technology nodes below 130nm
机译:
130nm以下技术节点的掩模数据处理方面的飞跃
作者:
C. Miramond
;
D. Goubier
;
M. Chomat
;
Y. Trouiller
;
Y. Rody
;
O. Toublan
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
mask data preparation;
OPC software;
fracturing;
process simulation;
43.
A Model Based OPC Methodology for 0.13 Micron Technology
机译:
基于模型的OPC方法论,用于0.13微米技术
作者:
Vishnu G. Kamat
;
Kent Green
;
Sejal Chheda
;
Sven Muehle
;
Venkat Kolagunta
;
Bill Wilkinson
;
Cece Philbin
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
mask data preparation;
OPC;
model based OPC;
optical proximity corrections;
44.
A robust and fast OPC approach for metal interconnects of 0.13um logic devices
机译:
用于0.13um逻辑器件的金属互连的强大而快速的OPC方法
作者:
Ji-Soong Park
;
Dong-Hyun Kim
;
Chul-Hong Park
;
Yoo-Hyon Kim
;
Moon-Hyun Yoo
;
Jeong-Taek Kong
;
Hyung-Woo Kim
;
Sun-Il Yoo
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
OPC;
optical proximity effect;
line-end shortening;
corner rounding;
overlay;
interconnect;
logic device;
45.
A Study on Optimization of Alternating Phase Shifting Mask Structure
机译:
交替相移掩模结构的优化研究
作者:
Han-Sun Cha
;
Se-Jong Choi
;
Si-Yeul Yoon
;
Sung-Mo Jung
;
Sang-Soo Choi
;
Soo-Hong Jeong
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
Alt. PSM;
DOF;
pre etch;
undercut;
process latitude;
balance intensity;
imbalance intensity;
46.
Aberrations are a big part of OPC for phase-shifting masks
机译:
像差是OPC移相掩模的重要组成部分
作者:
Frank E. Gennari
;
Andrew R. Neureuther
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
aberration;
layout;
pattern matching;
projection printing;
optical imaging;
47.
Adjustment of Optical Proximity Correction ( OPC ) Software For Mask Process Correction (MPC). Module 1: Optical Mask Writing Tool Simulation
机译:
调整用于掩模工艺校正(MPC)的光学邻近校正(OPC)软件。模块1:光学掩模书写工具仿真
作者:
A.Barberet
;
G.Fanget
;
P. Buck
;
O. Toublan
;
J-C Richoilley
;
M. Tissier
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
mask manufacturing;
mask process simulation;
mask process correction;
193nm-lithography;
48.
Numerical and experimental studies of pellicle-induced photomask distortions
机译:
薄膜引起的光掩模变形的数值和实验研究
作者:
Eric P. Cotte
;
Roxann L. Engelstad
;
Edward G. Lovell
;
Yuri M. Shkel
;
Florence O. Eschbach
;
Emily Y. Shu
;
Daniel Tanzil
;
Rebecca M. Calhoun
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
pellicle;
reticle handling;
photomask;
157-nm lithography;
49.
One step forward to maturity of AF(assistant feature)-OPC in the 100nm-level DRAM application
机译:
在100nm级DRAM应用中向AF(辅助功能)-OPC的成熟迈进了一步
作者:
Hyun-Jae Kang
;
Byeong-Soo Kim
;
Joon-Soo Park
;
In-Sung Kim
;
Gi-Sung Yeo
;
Jung-Hyeon Lee
;
Han-Ku Cho
;
Joo-Tae Moon
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
AF(assistant feature)-OPC;
PSM;
OAI;
common DOF;
mask specification;
50.
Optical Performances under the Conditions of Various Geometrical Structures and Phase Defects in Phase Edge PSM
机译:
相边缘PSM中各种几何结构和相位缺陷条件下的光学性能
作者:
Tae Moon Jeong
;
In-Kyun Shin
;
Dong-Hoon Chung
;
Sung-Hyuck Kim
;
Hyoung-Do Kim
;
Sung-Woon Choi
;
Woo-Sung Han
;
Jung-Min Sohn
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
phase edge PSM;
DFAPS;
mask structure;
optimal phase;
undercut;
phase defect;
51.
Optimization and Scaledown of Wafer-Based Resist Strip and Rinse Processes for Photomask Production
机译:
用于光掩模生产的基于晶片的抗蚀剂剥离和冲洗工艺的优化和按比例缩小
作者:
Brant L. Aggus
;
D. Gene Weaver
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photomask;
dump rinser;
nanostrip;
piranha;
52.
Performances of Triple-tone Contact Hole Mask for Optical Lithography Extensions
机译:
用于光学光刻扩展的三音接触孔掩模的性能
作者:
Sang-Man Bae
;
Moon-Hee Lee
;
Sang-Chul Kim
;
Oscar Han
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
optical lithography extension;
triple-tone mask (TTM);
asymmetrical contact hole;
OPC;
53.
Photomask Blank Shelf-life Study on EBeam Chemically Amplified Resists
机译:
电子束化学增强抗蚀剂的光掩模空白保质期研究
作者:
Feng Qian
;
David Chan
;
Masahiko Ishizuka
;
Akira Kurabayashi
;
Takumi Ogawa
;
Ryoichi Kobayashi
;
Takaei Sasaki
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
photomask;
CAR (chemically amplified resist);
photomask blank;
shelf life;
EBeam;
PEB (post exposure bake);
PCD (post coat delay);
54.
Photoresist Shape Reconstruction from Secondary Scanning Electron Microscopy
机译:
二次扫描电子显微镜的光致抗蚀剂形状重建
作者:
Parvez Ahammad
;
Amar Mukherjee
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
55.
Practical Complementary Mask-Data Generation for EPL Stencil Masks by Using General Geometrical Operation Tools
机译:
使用通用的几何运算工具为EPL模板掩模生成实用的互补掩模数据
作者:
Akemi Moniwa
;
Fumio Murai
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
complementary mask;
electron beam projection lithography;
data generation;
stencil mask;
pattern operation;
56.
Prevention Instead of Cure ― Pre-OPC Treatment of Photomask Layouts
机译:
预防而非治愈― OPC前处理光掩模版图
作者:
Jerry Huang
;
Karl Chiou
;
Snow Lee
;
Shih-Ying Chen
;
Eric C. Lynn
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
layout;
OPC;
mask;
data preparation;
57.
Process optimization for particle removal on blank chrome mask plates in preparation for resist application
机译:
优化在空白镀铬掩模板上去除颗粒以准备抗蚀剂的工艺
作者:
S. Osborne
;
E. Smith
;
E. Woster
;
A. Pelayo
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
mask plate cleaning;
spin cleaning;
megasonic;
58.
Productivity and OPC Reticle Inspectability using Multi-Beam UV Wavelength Inspection
机译:
使用多光束紫外波长检测的生产率和OPC掩模版可检测性
作者:
Dino Hsieh
;
Vincent Wen
;
Mark Laurance
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
OPC;
inspectability;
productivity;
59.
Quantitative Evaluation of Focused Ion-Beam Repair for Quartz Bump Defect of Alternating Phase-Shift Masks
机译:
聚焦离子束修复对交替相移掩模的石英凸点缺陷的定量评估
作者:
Daichi Kakuta
;
Ichiro Kagami
;
Tohru Komizo
;
Hidetoshi Ohnuma
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
FIB;
dual trench;
phase defect;
AFM;
AIMS;
milling;
60.
Repair and imaging of 193 nm MoSiON phase shift photomasks
机译:
193 nm MoSiON相移光掩模的修复和成像
作者:
Chris Marotta
;
Joshua Lessing
;
Jeff Marshman
;
Marcus Ramstein
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
193 nm molybdenum suicide (MoSiON) phase-shift masks;
focused ion beam (FIB);
VisION~(TM) ion beam column;
61.
Rigorous 3D Simulation of Phase Defects in Alternating Phase-Shifting Masks
机译:
交替相移掩模中相位缺陷的严格3D模拟
作者:
Thomas V. Pistor
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
phase defects;
defect printability;
mask inspection;
TEMPEST;
simulation;
FDTD;
aerial image calculation;
62.
Simulation Study of Reticle Enhancement Technology Applications for 157 nm Lithography
机译:
157 nm光刻技术中光罩增强技术应用的仿真研究
作者:
Dan Schurz
;
Warren W. Flack
;
Linard Karklin
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
157 nm lithography;
70 nm design rules;
phase shift masks;
reticle enhancement technology;
63.
Stage tracking of a mask-scan EB mask writer test stand
机译:
掩模扫描EB掩模写入器测试台的阶段跟踪
作者:
Shinsuke Nishimura
;
Soichiro Mitsui
;
Munehiro Ogasawara
;
Kiminobu Akeno
;
Mitsuko Shimizu
;
Hideo Kusakabe
;
Hirotsugu Wada
;
Kiyoshi Hattori
;
Shusuke Yoshitake
;
Naoharu Shimomura
;
Jun Takamatsu
;
Hitoshi Sunaoshi
;
Yuuji Fukudome
;
Toru Tojo
;
Seiichi Tsuchiya
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
electron beam;
mask writer;
mask scan;
stage;
tracking;
position correction;
deflection;
EB-mask;
throughput;
projection;
64.
The Application of Automatic Parallel Fracturing System on Hierarchical and Large Data Structure
机译:
自动并行压裂系统在分层大数据结构中的应用
作者:
Eiji Tsujimoto
;
Takahiro Watanabe
;
Keitaro Katabuchi
;
Akihiro Nogami
;
Shuji Shibayama
;
Hirokazu Sambayashi
;
Shozo Takaku
;
Norihiko Takase
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
layout;
lithography;
mask;
fracturing;
parallel processing;
hierarchical processing;
tiny geometry;
65.
Thin Film Stress Control of Absorber Stack Materials for EUVL Reticles
机译:
EUVL网罩的吸收体堆叠材料的薄膜应力控制
作者:
J.R. Wasson
;
D. Convey
;
P.J.S. Mangat
;
F. Bazzarre
;
L. Parobek
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
extreme ultra-violet lithography;
x-ray fluorescence;
spectroscopic ellipsometry;
multi-layers;
66.
Throughput optimization of electron-beam lithography in photomask fabrication regarding acceptable accuracy of critical dimensions
机译:
考虑到可接受的关键尺寸精度,光掩模制造中电子束光刻的吞吐量优化
作者:
Sergey Babin
;
Igor Kuzmin
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
electron beam lithography;
photomask;
distortion;
resist heating;
optimization;
67.
Understanding the Forbidden Pitch and Assist Feature Placement
机译:
了解禁止的俯仰和辅助特征放置
作者:
Xuelong Shi
;
Stephen Hsu
;
Fung Chen
;
Michael Hsu
;
Robert J. Socha
;
Micea Dusa
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
optical proximity effect;
OPE;
exposure latitude;
EL;
scattering bar;
SB;
forbidden pitch;
68.
Use of KRS-XE Positive Chemically Amplified Resist for Optical Mask Manufacturing
机译:
使用KRS-XE正化学放大抗蚀剂制造光学掩模
作者:
Brian Ashe
;
Christina Deverich
;
Paul Rabidoux
;
Barbara Peck Karen Petrillo
;
Marie Angelopoulos
;
Wu-Song Huang
;
Wayne Moreau
;
David Medeiros
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
chemically amplified resist;
CD uniformity;
e-beam lithography;
69.
Verification of the Effect of Mask Bias on the Mask Error Enhancement Factor of Contact Holes
机译:
验证掩膜偏置对接触孔掩膜误差增强因子的影响
作者:
Michael Reilly
;
Stewart Robertson
;
Colin Parker
;
Doris Kang
;
Mircea Dusa
;
Susan MacDonald
;
Craig West
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
contacts;
MEEF;
mask bias;
70.
Zep process optimization for sub-micron reticle fabrication in high acceleration voltage writing tool
机译:
高加速电压写入工具中亚微米掩模版制造的Zep工艺优化
作者:
Junsik Cho
;
Lee.ju. Kim
;
Cheol. Shin
会议名称:
《Annual BACUS symposium on photomask technology;BACUS symposium on photomask technology》
|
2002年
关键词:
PEC;
ZEP process;
50Kv writing tool;
fogging;
意见反馈
回到顶部
回到首页