掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography
Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
An Automated Method for Overlay Sample Plan Optimization Based on Spatial Variation Modeling
机译:
基于空间变化建模的覆盖样本规划优化自动化方法
作者:
Xuemei Chen
;
Moshe E Preil
;
Mathilde Le Goff-Dussable
;
Mireille Maenhoudt
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay metrology;
lithography process control;
sampling;
spatial variation;
generalized nested ANOVA;
2.
From compliance to control: off-roadmap metrology for low-k1 lithography
机译:
从遵守控制:低K1光刻的路线图计量
作者:
Christopher P. Ausschnitt
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
metrology;
lithography;
process control;
critical dimension;
dose;
focus;
overlay;
3.
Chemical Mechanical Planarization Process Induced Within Lot Overlay Variation in 0.20um DRAM: Solution and Simulation Model
机译:
化学机械平面化过程在0.20um DRAM中批量覆盖范围内诱导:解决方案和仿真模型
作者:
C. P. Alex Chen
;
Brian Huang
;
Wilson Lee
;
W. J. Chung
;
T. K. Hou
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
chemical mechanical planarization;
overlay;
4.
Prevention of Optics and Resist Contamination in 300mm Lithography -- Improvements in Chemical Air Filtration
机译:
300mm光刻中的光学和抗蚀剂污染 - 化学空气过滤的改善
作者:
D. A. Kinkead
;
A. Grayfer
;
O. Kishkovich
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
T-topping;
mines;
photoresist contamination;
chemically amplified photoresist delay stability;
CD control;
organic contamination;
optic clouding;
optics hazing;
photo-induced organic contamination;
5.
SEM Sentinel - SEM Performance Measurement System
机译:
SEM Sentinel - SEM性能测量系统
作者:
B. N. Damazo
;
A. E. Vladar
;
A. V. Ling
;
M. A. Donmez
;
M. T. Postek
;
E. C. Jayewardene
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
SEM;
performance;
monitoring;
automatic;
computer control;
6.
CD SEM Carryover Effect Investigation
机译:
CD SEM核对效果调查
作者:
Anna Chernakova
;
Alan Fan
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
CS SEM;
precision;
carryover;
photoresist;
damage;
7.
Redefining Critical in Critical Dimension Metrology
机译:
在关键维度计量中重新定义至关重要
作者:
Farid Askary
;
Neal T. Sullivan
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
CD metrology;
accuracy;
calibration;
linewidth standards;
process control;
8.
Electromagnetic Scatterometry Applied to In Situ Metrology
机译:
电磁散射测定法应用于原位计量
作者:
Michael Yeung
;
Eytan Barouch
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scatterometry;
single integral equation;
9.
Inspectability Study of Advanced Photomaska With OPC Structures
机译:
高级PhotomaskA与OPC结构的检查性研究
作者:
Michael Cross
;
Kaustuve Bhattacharyya
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
inspection;
OPC;
assist;
serif;
defect;
10.
Impact of Optimized Illumination Upon Simple Lambda Based Design Rules for Low K1 Lithography
机译:
优化照明对低k1光刻简单Lambda设计规则的影响
作者:
Sergei Postnikov
;
Kevin Lucas
;
Karl Wimmer
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
bitcell patterning;
low K1 lithography;
off-axis illumination;
11.
High precision metrology by means of a novel stereo imaging technique based on Atomic Force Microscopy
机译:
基于原子力显微镜的新型立体化成像技术,高精度计量
作者:
Bernardo D. Aumond
;
Kamal Youcef-Toumi
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
metrology;
profilometer;
atomic force microscope;
deconvolution;
stereo imaging;
12.
Three Dimensional Simulations of SEM Imaging and Charging
机译:
SEM成像和充电的三维模拟
作者:
Luca Grella
;
Gian Lorusso
;
Tim Niemi
;
TC Chuang
;
David L. Adler
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
13.
Implementation of Spectroscopic Critical Dimension (SCD~(TM)) for Gate CD Control and Stepper Characterization
机译:
用于门CD控制和步进临界尺寸的光谱临界尺寸(SCD〜(TM))
作者:
John Allgair
;
Dave Benoit
;
Mark Drew
;
Rob Hershey
;
Lloyd C. Litt
;
Pedro Herrera
;
Umar Whitney
;
Marco Guevremont
;
Ady Levy
;
Suresh Lakkapragada
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
spectroscopic CD;
optical microscopy;
process control;
focus;
exposure;
14.
SPM Characterization of Anomalies in Phase Shift Mask and Their Effect on Wafer Features
机译:
相移掩模中异常的SPM表征及其对晶片特征的影响
作者:
S. Muckenhirn
;
A. Meyyappan
;
K. Walch
;
Mark Maslow
;
Geert Vandenberghe
;
Johannes Van Wingerden
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning probe microscope (SPM);
phase shift mask (PSM);
profile;
metrology;
15.
Wafer Edge Dies Yield Improvements
机译:
晶圆边缘成果提高
作者:
Gan Kia Huat Philip
;
Tan Yew Kong
;
Sun Gin Ping
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
critical dimension;
profile;
exposure/focus;
leveling;
wafer edge exposure and topography;
16.
Measurement precision of optical scatterometry
机译:
光学散射测量精度
作者:
Petre C. Logofatu
;
John R. McNeil
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scatterometry;
ellipsometry;
error analysis;
spectroscopy;
sensitivity;
measurement precision;
17.
CD Monitoring of Critical Photo Layers in 6 Inch GaAs IC Process
机译:
6英寸GaAs IC过程中临界照片层的CD监测
作者:
Ying Liu
;
Iain Black
;
Kezhou Xie
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
IC gallium-arsenide process;
metrology;
sidewall profile;
CD monitoring;
gauge repeatability and reproducibility;
18.
Gauge Control for sub 170 nm DRAM Product Features
机译:
用于SUB 170 NM DRAM产品功能的规格控制
作者:
Neal Lafferty
;
Christopher Gould
;
Mike Littau
;
Christopher J. Raymond
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
19.
Interferometric Testing of Photomask Substrate Flatness
机译:
光掩模衬底平整度的干涉式测试
作者:
Chris J. Evans
;
Robert E. Parks
;
Lian-Zhen. Shao
;
Tony Schmitz
;
Angela Davies
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
photomasks;
interferometry;
flatness;
Ritchey-Common test;
20.
Monte Carlo model of charging in resists in e-beam lithography
机译:
电子束光刻中抗蚀剂充电蒙特卡罗模型
作者:
Yeong-Uk Ko
;
David C. Joy
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
beam deflection;
charging;
electron beam lithography;
mask pattern;
insulator;
21.
Advanced statistical process control: Controlling sub-0.18μm Lithography and other processes
机译:
高级统计过程控制:控制Sub-0.18μm光刻和其他过程
作者:
Amit Zeidler
;
Klaas Jelle Veenstra
;
Terrence E. Zavecz
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
APC;
advanced process control;
PPC;
predictive process control;
process control;
feed forward;
lithography;
overlay;
CD;
critical dimensions;
22.
Method for prevention of unopened contact-hole in dual damascene process
机译:
防止双镶嵌过程中未开封接触孔的方法
作者:
Gyu-Ho Lyu
;
Chan-Ho Kim
;
Suk-Joo Lee
;
Hee-Hong Yang
;
Dae-Yup Lee
;
Ji-Young Yoo
;
Jeong-Woo Lee
;
Yoo-Hyon Kim
;
Jeong-Lim Nam
;
Woo-Sung Han
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
trench first dual damascene;
resolution limit;
resist absorption;
trench side wall effect;
reflected light;
DOF;
CD variation;
23.
Technology in the Internet Era
机译:
互联网时代的技术
作者:
Dennis D. Buss
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
Internet;
IC;
DSP;
analog;
scaling;
system-on-a-Chip;
24.
CD Measurement of Re-entry (overhang) Obtained by Liftoff Techniques in 6 Inch GaAs IC Process
机译:
通过6英寸GaAs IC工艺中的升降技术获得的重新进入(悬垂)测量
作者:
Ying Liu
;
Iain Black
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
IC gallium-arsenide process;
metrology;
liftoff process;
CD monitoring;
gauge repeatability and reproducibility;
25.
Wafer induced Reading Error in Metal Sputtering Process
机译:
晶圆诱导金属溅射过程中的读取误差
作者:
Dae-Joung Kim
;
Seok-Hwan Oh
;
Gi-Sung Yeo
;
Yong-Guk Bae
;
Jae-Hwan Kim
;
Young-Hee Kim
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
26.
Optimisation of dielectric anti-reflective coatings on a transparent substrate in sub-half-micron CMOS technology
机译:
亚半微米CMOS技术透明基板上的介电抗反射涂层优化
作者:
G. Arthur
;
B. Martin
;
C. Wallace
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
27.
Metrology and Analysis of Two Dimensional SEM Patterns
机译:
二维SEM模式的计量与分析
作者:
Chris A. Mack
;
Sven Jug
;
Rob Jones
;
Prasad Apte
;
Sandy Williams
;
Mike Pochkowski
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
SEM image analysis;
critical shape difference;
corner rounding;
ProDATA;
SIAM;
28.
Advances in Process Overlay
机译:
过程覆盖的进步
作者:
Paul C. Hinnen
;
Henry J. L. Megens
;
Maurits van der Schaar
;
Richard J. F. van Haren
;
Evert C. Mos
;
Sanjay Lalbahadoersing
;
Frank Bornebroek
;
David Laidler
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay;
alignment systems;
CMP;
aluminum sputtering;
copper dual-damascene;
spin coating;
STI;
300-mm;
29.
Scatterometry for shallow trench isolation (STI) process metrology
机译:
浅沟槽隔离(STI)过程计量散射测定法
作者:
Christopher J. Raymond
;
Mike Littau
;
Rick Markle
;
Matthew Purdy
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scatterometry;
optical;
metrology;
diffract;
CD;
shallow;
trench;
STI;
30.
Secondary Electron Image Profiles Using Bias Voltage Technique In Deep Contact Hole
机译:
使用深触点孔中的偏置电压技术进行二次电子图像曲线
作者:
Yeong-Uk Ko
;
David C. Joy
;
Neal Sullivan
;
Martin E. Mastovich
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
deep contract hole;
secondary electron;
charging;
bias voltage technique;
31.
A Comprehensive Analysis of Statistical and Model-Based Overlay Lot Disposition Methods
机译:
基于统计和模型的覆盖批次配置方法的综合分析
作者:
David Crow
;
Ken Flugaur
;
Joseph C. Pellegrini
;
Etienne Joubert
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay;
registration;
steppers;
scanners;
metrology;
yield;
32.
W-CMP Alignment using ASML's ATHENA System on an I-line Stepper
机译:
W-CMP在I-Line步进上使用ASML的Athena系统对齐
作者:
K. John Prasad
;
D. Arunagiri Rajan
;
Tan Yew Kong
;
Gin Ping Sun
;
Stephen Morgan
;
Merritt Phillips
;
Bruce Ng
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
W-CMP alignment;
ATHENA;
33.
Reference Material 8091: New Scanning Electron Microscope Sharpness Standard
机译:
参考材料8091:新扫描电子显微镜清晰度标准
作者:
Andras E. Vladar
;
Michael T. Postek
;
Nien-Fan Zhang
;
Robert D. Larrabee
;
Samuel N. Jones
;
Russell E. Hajadj
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning electron microscope;
CD;
SEM;
performance;
measurement;
CD-SEM;
metrology;
standard;
reference material;
34.
Edge Determination for Polycrystalline Silicon Lines on Gate Oxide
机译:
栅极氧化物上多晶硅线的边缘测定
作者:
J. S. Villarrubia
;
A. E. Vladar
;
J. R. Lowney
;
M. T. Postek
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
critical dimension (CD);
independent-edges approximation;
library-based metrology;
linewidth metrology;
line shape;
scanning electron microscopy (SEM);
35.
Asymmetric line profile measurement using angular scatterometry
机译:
使用角散射测量法测量不对称线轮廓测量
作者:
Christopher J. Raymond
;
Mike Littau
;
Todd Pitts
;
Peter Nagy
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scatterometry;
optical;
metrology;
diffract;
CD;
asymmetry;
asymmetric;
profile;
sidewall;
36.
Monitoring Printing Fidelity with Image Correlation Measurements on the CDSEM
机译:
在CDSEM上监控使用图像相关测量的印刷保真度
作者:
Chas Archie
;
Eric Solecky
;
Tim Hayes
;
Bill Banke
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
CD metrology;
CD-SEM;
image correlation;
pattern fidelity monitoring;
37.
The control of resist flow process for sub-0.15 μm small contact hole by latent image
机译:
通过潜像控制抗抗蚀剂流程的抗蚀剂流程
作者:
Byung-Kap Kim
;
Suk-Joo Lee
;
Dae-Yeop Lee
;
Jeong-Woo Lee
;
Jeong-Lim Nam
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
bake process;
glass transition temperature;
amount of PR flow;
pattern density;
acetal type PR;
attenuated phase shift mask;
resist flow sensitivity;
aerial image intensity;
bulk region;
38.
Evaluation Of Overlay Measurement Target Designs for Cu Dual Damascene Process
机译:
Cu双镶嵌过程覆盖测量目标设计的评价
作者:
Moitreyee Mukherjee-Roy
;
Rakesh Kumar
;
G. S. Samudra
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
alignment;
overlay;
target;
misregistration;
low K dielectric;
damascene;
39.
An Evaluation of the Dual Exposure Technique
机译:
对双曝光技术的评价
作者:
Harry Sewell
;
Victor Bunze
;
Nick Deluca
;
Diane McCafferty
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
exposure;
phase-shift mask;
K-factor;
double exposure;
dual exposure;
simultaneous exposure;
40.
Impact of attenuated PSM repair for 130nm poly gate lithography process
机译:
减振PSM修复对130nm多栅极光刻工艺的影响
作者:
Xuelong Shi
;
Stephen Hsu
;
Robert Socha
;
Fung Chen
;
Andy Cheng
;
Clyde Su
;
Jackie Cheng
;
Andy Chen
;
Henry Lin
;
David Wang
;
Dick Chen
;
Arthur Lin
;
Will Conley
;
Dan Metzger
;
Sunil Desai
;
Philip H. Imamura
;
Micheal Sherrill
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
focused ion beam;
defect;
repair;
41.
Innovative Techniques for Automatic Multi-CD-SEM Image Quality Monitoring, and Matching
机译:
自动多CD-SEM图像质量监测的创新技术和匹配
作者:
Haiqing Zhou
;
Chih-Yu Wang
;
Joe J. Pratt
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
DUV;
critical dimension (CD) - scanning electron microscope (CD-SEM);
Pattern Qualification Confirmation (PQC);
charging effect SPC chart;
42.
Initial results with a point projection microscope
机译:
具有点投影显微镜的初始结果
作者:
Bernhard Frost
;
David Joy
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
in-line holography;
off-axis holography;
point reflection microscope;
nanotip field emitter;
43.
DualBeam metrology: A new technique for optimizing 0.13 μm photo processes
机译:
双排计量:一种优化0.13μm照片进程的新技术
作者:
Steven Berger
;
Denis Desloge
;
Robert Virgalla
;
Todd Davis
;
Ted Paxton
;
David Witko
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
CD measurement;
DualBeam;
litho cell optimization;
resist sidewall;
process latitude;
44.
Swing curve phase and amplitude effects in optical lithography
机译:
光光刻中的摆动曲线相和幅度效应
作者:
Brian Martin
;
Tom Tighe
;
Graham Arthur
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
45.
Comparison of Edge Detection Methods using a Prototype Overlay Calibration Artifact
机译:
边缘检测方法的比较使用原型叠加校准伪影
作者:
R. M. Silver
;
J. Jun
;
E. Kornegay
;
R. Morton
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
46.
Application of Critical Shape Analyses to Two Dimensional Patterns
机译:
临界形状分析对二维模式的应用
作者:
Mike Pochkowski
;
Chris A. Mack
;
Bryan S. Kasprowicz
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
SEM image analysis;
critical shape;
critical shape difference;
proDATA;
SIAM;
47.
High-Accuracy EUV Metrology of PTB Using Synchrotron Radiation
机译:
使用Synchrotron辐射的PTB高精度EUV计量
作者:
F. Scholze
;
B. Beckhoff
;
G. Brandt
;
R. Fliegauf
;
A. Gottwald
;
R. Klein
;
B. Meyer
;
U. Schwarz
;
R. Thornagel
;
J. Tummler
;
K. Vogel
;
J. Weser
;
G. Ulm
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
reflectometry;
detector calibration;
metrology;
irradiation testing;
48.
Enhancing the rules for optical proximity correction to improve process latitude
机译:
增强光学邻近校正的规则,以改善过程纬度
作者:
Brian Martin
;
Graham Arthur
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
49.
Post-development Defect Evaluation
机译:
开发后缺陷评估
作者:
Osamu Miyahara
;
Yukio Kiba
;
Yuko Ono
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
defect;
satellite;
CA resist;
AFM;
AES;
50.
Light diffraction based overlay measurement
机译:
基于光衍射的覆盖测量
作者:
J. Bischoff
;
R. Brunner
;
J. Bauer
;
U. Haak
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay measurement;
stepper qualification;
diffraction;
diffraction grating;
51.
Silicon single atom steps as AFM height standards
机译:
硅单个原子作为AFM高度标准
作者:
Ronald Dixson
;
Ndubuisi G. Orji
;
Joseph Fu
;
Vincent Tsai
;
Ellen D. Williams
;
Raghu Kacker
;
Theodore Vorburger
;
Hal Edwards
;
Debra Cook
;
Paul West
;
Ralph Nyffenegger
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
AFM;
metrology;
step height;
atomic steps;
52.
Measurement of Sidewall, Line and Line-edge Roughness with Scanning Probe Microscopy
机译:
扫描探针显微镜测量侧壁,线路和线边缘粗糙度
作者:
K. Walch
;
A. Meyyappan
;
S. Muckenhirn
;
J. Margail
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning probe microscope;
critical dimension (CD) metrology;
sidewall roughness;
line-edge roughness;
line roughness;
optical wave-guides;
53.
Scanning Probe Position Encoder (SPPE)-a new approach for a high precision and high sped position measurement system
机译:
扫描探头位置编码器(速度) - 高精度和高速位置测量系统的新方法
作者:
Tetsuo Ohara
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning probe microscopy;
position encoder;
nanotechnology;
overlay metrology;
position measurement;
54.
Lithography Process Optimization for 1300nm Poly Gate Mask And The Impact of Mask Error Factor
机译:
1300nm多栅极掩模的光刻工艺优化及掩模误差因子的影响
作者:
Stephen Hsu
;
Xuelong Shi
;
Robert J. Socha
;
J. Fung Chen
;
Jason Yee
;
Mohan Ananth
;
Sunil Desai
;
Philip H. Imamura
;
Micheal Sherrill
;
Y. C. Tseng
;
H. A. Chang
;
J. F. Kao
;
Alex Tseng
;
W. J. Liu
;
Anseime Chen
;
Arthur Lin
;
Jan Pieter Kujten
;
Eric Jacobs
;
Arjan Verhappen
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
SB OPC;
model OPC;
MEEF;
overlapped process windows;
attenuated PSM;
ArF;
KrF;
55.
193 nm Photo-Resist Shrinkage after Electron Beam Exposure
机译:
电子束曝光后193 nm光致抗蚀剂
作者:
Bo Su
;
Guy Eytan
;
Andrew Romano
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
56.
Electric force microscopy with a single carbon nanotube tip
机译:
具有单个碳纳米管尖的电力显微镜
作者:
J. A. Dagata
;
F. S. S. Chien
;
S. Gwo
;
K. Morimoto
;
T. Inoue
;
J. Itoh
;
H. Yokoyama
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanned probe microscopy;
lithography;
electric force microscopy;
carbon nanotube;
silicon-on-insulator;
57.
Haidinger interferometer for silicon wafer TTV measurement
机译:
用于硅晶片TTV测量的Haidinginger干涉仪
作者:
Robert E. Parks
;
Lianzhen Shao
;
Angela Davies
;
Christopher J. Evans
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
interferometry;
haidinger interferometer;
phase shifting interferometry;
TTV;
silicon wafer measurement;
58.
Experimental Determination of the Impact of Polysilicon LER on sub-100 nm Transistor Performance
机译:
多晶硅LER对亚100nm晶体管性能影响的实验确定
作者:
Kyle Patterson
;
John L. Sturtevant
;
John Alvis
;
Nancy Benavides
;
Dough Bonser
;
Nigel Cave
;
Carla Nelson-Thomas
;
Bill Taylor
;
Karen Turnquest
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
59.
Determination of Best Focus and Exposure Dose using CD-SEM Side-wall Imaging
机译:
使用CD-SEM侧壁成像测定最佳焦点和曝光剂量
作者:
Thomas Marschner
;
Guy Eytan
;
Ophir Dror
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
CD-Metrology;
CD-SEM;
focus-exposure matrix;
side-wall profiles;
60.
Three Dimensional Top Down Metrology: A Viable Alternative to AFM or Cross Sections?
机译:
三维顶部下降计量:AFM或横截面的可行替代品?
作者:
Eric Solecky
;
Chas Archie
;
Tim Hayes
;
Bill Banke
;
Roger Cornell
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
critical dimension (CD);
scanning electron microscopy (SEM);
atomic force microscopy (AFM);
sidewall angle;
61.
Automated search method for AFM and profilers
机译:
AFM和分析器的自动搜索方法
作者:
Michael Ray
;
Yves C. Martin
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
AFM;
profilometer;
search;
image analysis;
match;
throughput;
automation;
recipe creation;
cost of ownership;
62.
Investigation of Full-Field CD Control of Sub-100 nm Gate Features by Phase-shift 248-nm Lithography
机译:
通过相移248-nm光刻调查亚100nm栅极特征的全场CD控制
作者:
M. Fritze
;
B. Tyrrell
;
D. Astolfi
;
P. Davis
;
B. Wheeler
;
R. Mallen
;
J. Jarmolowicz
;
S. Cann
;
D. Chan
;
P. Rhyins
;
M. Mastovich
;
N. Sullivan
;
R. Brandom
;
C. Carney
;
J. Ferri
;
B. A. Blachowicz
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
optical lithography;
phase-shift mask;
CD control;
63.
Practical Monitor and Control of SEM Astigmatism in Manufacturing
机译:
制造业中SEM Atrigmatism的实际监测与控制
作者:
Sandy Dupuis
;
Tim Hayes
;
Chas Archie
;
Eric Solecky
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
CD metrology;
CD-SEM;
electron beam stigmation;
SPC;
64.
Impact and Characterization of Mask Repair on Wafer CD Uniformity
机译:
薄饼CD均匀性面膜修复的影响与特征
作者:
H. M. Chang
;
W. B. Shieh
;
Johnson Liu
;
Brian Chu
;
L. H. Tu
;
James Cheng
;
David Wang
;
Jackie Cheng
;
Stephen Hentschel
;
Vincent Hsu
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
65.
Bulge testing of single and dual layer thin films
机译:
单层薄膜的凸起测试
作者:
Dryver R. Huston
;
Wolfgang Sauter
;
Patricia S. Bunt
;
Brian Esser
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
bulge tester;
thin film;
dual layer;
automated;
66.
Electrical Linewidth Measurement for Next Generation Lithography
机译:
下一代光刻电气线路测量
作者:
Jongwook Kye
;
Harry Levinson
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
electrical linewidth measurement;
electrical CD measurement;
ELM;
ECD;
67.
Application of SMIF Isolation to Lithography Processes for Contamination Control
机译:
SMIF分离在污染控制光刻工艺中的应用
作者:
Sheng-Bai Zhu
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
pattern defect;
process instability;
contamination control;
SMIF isolation;
68.
The problem with submicrometer linewidth standards, and a proposed solution
机译:
潜艇线宽标准的问题和提出的解决方案
作者:
James Potzick
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
linewidth;
metrology;
imaging;
error;
measurement uncertainty;
traceability;
tolerance;
standards;
69.
Sampling strategy and model to measure and compensate the overlay errors
机译:
采样策略和模型测量和补偿覆盖错误
作者:
Chen-Fu Chien
;
Kuo-Hao Chang
;
Chih-Ping Chen
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay;
stepper;
yield improvement;
decision analysis;
semiconductor manufacturing;
70.
Improving the Measurement Algorithm for Alignment
机译:
改进对齐测量算法
作者:
Shinichi Nakajima
;
Yuho Kanaya
;
Akira Takahashi
;
Koji Yoshida
;
Hideo Mizutani
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
measurement algorithm;
wavelet transform;
filter bank;
interpolation;
symmetry;
alignment;
stepper;
71.
Characterization of Optical Proximity Correction Features
机译:
光学邻近校正特征的表征
作者:
John Allgair
;
Michelle Ivy
;
Kevin Lucas
;
John Sturtevant
;
Richard Elliott
;
Chris A. Mack
;
Craig MacNaughton
;
John Miller
;
Mike Pochkowski
;
Moshe Preil
;
John Robinson
;
Frank Santos
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
SEM image analysis;
CD SEM;
OPC;
image analysis;
lithography;
CSD;
72.
Using Pattern Quality Confirmation to control a metal-level DUV process with a top-down CD-SEM
机译:
使用图案质量确认控制金属级DUV进程,顶层CD-SEM
作者:
Chien-Sung Liang
;
Haiqing Zhou
;
Mark Boehm
;
Ricky Jackson
;
Chih-Yu Wang
;
Mike Slessor
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
lithography process control;
critical dimension;
CD-SEM;
Pattern Qualification Confirmation (pQC);
73.
Active Monitoring and Control of Electron Beam Induced Contamination
机译:
电子束诱导污染的主动监测与控制
作者:
Andras E. Vladar
;
Michel T. Postek
;
Ronald Vane
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning electron microscope;
CD;
SEM;
contamination;
measurement;
CD-SEM;
lithography;
metrology;
accuracy;
linewidth;
74.
Microeconomics of 300mm process module control
机译:
300mm工艺模块控制的微观经济学
作者:
Kevin M. Monahan
;
Arun Chatterjee
;
Georges Falessi
;
Ady Levy
;
Meryl Stoller
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
75.
193nm Metrology: facing severe e-beam/resist interaction phenomena
机译:
193NM Metrology:面临严重的电子束/抗蚀性相互作用现象
作者:
Mauro Vasconi
;
Maddalena Bollin
;
Gina Cotti
;
Laurent Pain
;
Vincent Tirard
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
193nm;
SEM;
resist;
CD variation;
CD process integration;
76.
High-speed Mapping of Inter-transistor Overlay Variations Using Active Electrical Metrology
机译:
使用主动电气计量晶体管间覆盖变化的高速映射
作者:
X. Ouyang
;
C. N. Berglund
;
R. F. W. Pease
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
lithography;
overlay;
metrology;
inter-transistor;
short-range;
spatial variations;
active electrical metrology;
spatial frequency;
77.
Foot (bottom corner) measurement of a structure with SPM
机译:
脚(底角)测量与SPM的结构
作者:
A. Meyyappan
;
M Klos
;
S. Muckenhirn
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning probe microscope (SPM);
foot;
probe;
surface roughness;
78.
Optimization of Segmented Alignment Marks for Advanced Semiconductor Fabrication Processes
机译:
用于高级半导体制造过程的分段对准标记的优化
作者:
Qiang Wu
;
Z. George Lu
;
Gary Williams
;
Franz Zach
;
Bernhard Liegl
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
79.
Lens Heating Induced Focus Drift of i-line Step Scan: Correction and Control in a Manufacturing Environment
机译:
镜头加热诱导I-Line步骤和扫描的焦点漂移:制造环境中的校正和控制
作者:
Grace H. Ho
;
Anthony T. Cheng
;
Chung-J. Chen
;
Chin-K. Fang
;
Meng-C. Li
;
I-Chung Chang
;
Po-T. Chu
;
YC Chu
;
Kuei-Y. Shu
;
Chin-Y. Huang
;
Hsiao-L. Yeh
;
HC Shiao
;
HK Lan
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
lens heating;
i-line Step amp;
Scan;
focus drift;
80.
Scanner and stepper intrafield distortion characterization-A Comparison and correlation of current techniques
机译:
扫描仪和步进Intrafield失真表征 - 当前技术的比较和相关性
作者:
Brian Martinick
;
Bill Roberts
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
81.
Wafer Scale Error induced by Bottom Anti-Reflective Coating
机译:
底部抗反射涂层诱导的晶片刻度误差
作者:
Dong-Seok Kim
;
Jong Ho Jeong
;
Byung Ho Nam
;
Young Ju Hwang
;
Young Jin Song
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
wafer-induced-shift;
WIS;
bottom anti-reflective coating;
BARC;
misalignment;
wafer scale error;
overlay;
82.
Process Development and Impurities Analysis for the Bottom Antireflective Coating Material
机译:
底部抗反射涂料的过程开发和杂质分析
作者:
Fu-Hsiang Ko
;
Hsuen-Li Chen
;
Tiao-Yuan Huang
;
Hsu-Chun Cheng
;
Chu-Jung Ko
;
Tieh-Chi Chu
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
antireflective coating;
surface reflection;
BARC material analysis;
one-step microwave digestion;
83.
Improvement In E2 Nozzle Performance - No Imprint Less Contamination
机译:
E2喷嘴性能的改进 - 没有印记和污染少
作者:
Ang Kian Siong
;
Low Shu Jin
;
Lim Aik Chin
;
Lim Chee Keong
;
Loh Liah Kee
;
Tan Yew Kong
;
Xu Xiang Yang
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
E2 nozzle;
developer;
contamination;
84.
Extended ATHENA~(TM) alignment performance and application for the 100 nm technology node
机译:
扩展雅典娜〜(TM)对齐性能和100nm技术节点的应用
作者:
Ramon Navarro
;
Stefan Keij
;
Arie den Boef
;
Sicco Schets
;
Frank van Bilsen
;
Geert Simons
;
Ron Schuurhuis
;
Jaap Burghoorn
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay;
alignment systems;
wafer alignment strategies;
RF phase modulation;
Cu-damascene;
W-CMP;
STI;
85.
Electrical Characterization of an Ion Beam Mixed Metal/Polymer System
机译:
离子束混合金属/聚合物体系的电学表征
作者:
Runhui Huang
;
Ryan E. Giedd
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
ion implantation;
metal;
polymer;
interface;
electrical resistance;
coulomb gap;
variable range hopping;
86.
Feature-shape and Line-edge Roughness Measurement of Deep sub-micron Lithographic Structures using Small-angle Neutron Scattering
机译:
使用小角中子散射的深层微米光刻结构的特征形状和线边粗糙度测量
作者:
Eric K. Lin
;
Wen-li Wu
;
Qinghuang Lin
;
Marie Angelopoulos
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
87.
Scatterometry: a metrology for subwavelength surface-relief gratings
机译:
散射测定法:亚波长表面浮雕光栅的计量
作者:
Petre C. Logofatu
;
John R. McNeil
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scatterometry;
phase modulation;
sensitivity;
88.
Evaluation of overlay performance by using air shower at the prealignment
机译:
使用预取的空气淋浴评估覆盖性能
作者:
Amit Ghosh
;
Tan Yew Kong
;
Arunagiri Rajan
;
Sun Gin Ping
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
overlay;
air-shower;
X/Y 3sigma;
89.
Open-Contact-Failure Detection of via Holes by using Voltage Contrast
机译:
使用电压对比度通过孔的开放式触点检测
作者:
Hidetoshi Nishiyama
;
Mari Nozoe
;
Koji Aramaki
;
Osamu Watanabe
;
Yoshihiro Ikeda
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
scanning electron microscope;
wafer inspection;
open contact;
contact resistance;
resistance;
voltage contrast;
simulation;
electron beam;
90.
Mechanism of deep UV photo resist tail on inorganic anti-reflective layer film
机译:
深紫色光电尾部在无机抗反射层膜上的机制
作者:
Seung-Jae Lee
;
Soo Geun Lee
;
Min Kim
;
Sun-Hoo Park
;
Jeong-Lim Nam
;
Sang-In Lee
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
inorganic ARL(Anti reflective layer);
DUV PR footing;
O2;
NH3;
N2O plasma treatment;
91.
Atomic Force Metrology and 3D Modeling of Micro-Trenching In Etched Photomask Features
机译:
蚀刻光掩模特征中微沟的原子力计量和3D模型
作者:
Bradley Todd
;
Kirk Miller
;
V. Pistor
会议名称:
《Society of Photo-Optical Instrumentation Engineers Conference on Metrology, Inspection, and Process Control for Microlithography》
|
2001年
关键词:
phase shift mask;
PSM;
defect repair;
simulation;
etch;
AFM;
意见反馈
回到顶部
回到首页