掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on metrology, inspection, and process control for microlithography XXXI
Conference on metrology, inspection, and process control for microlithography XXXI
召开年:
2017
召开地:
San Jose(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Computational overlay metrology with adaptive data analytics
机译:
具有自适应数据分析功能的计算叠加计量
作者:
Emil Schmitt-Weaver
;
Venky Subramony
;
Zakir Ullah
;
Masazumi Matsunobu
;
Ravin Somasundaram
;
Joel Thomas
;
Linmiao Zhang
;
Klaus Thul
;
Kaustuve Bhattacharyya
;
Ronald Goossens
;
Cees Lambregts
;
Wim Tel
;
Chris de Ruiter
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
machine learning;
overlay;
clamped wafer shape;
computational metrology;
neural networks;
dendrogram;
2.
Image Based Overlay Measurement Improvements of 28 nm FD-SOI CMOS Front-End critical steps
机译:
28 nm FD-SOI CMOS前端关键步骤的基于图像的重叠测量改进
作者:
F. Dettoni
;
T. Shapoval
;
R. Bouyssou
;
T. Itzkovich
;
R. Haupt
;
C. Dezauzier
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
FD-SOI;
Accuracy;
Qmerit;
Metrology;
Asymmetry;
Target design;
Image Based Overlay;
3.
Enabling CD SEM Metrology for 5nm Technology Node and Beyond
机译:
为5nm及以上技术节点启用CD SEM计量
作者:
Gian Francesco Lorusso
;
Takeyoshi Ohashi
;
Astuko Yamaguchi
;
Osamu Inoue
;
Takumichi Sutani
;
Naoto Horiguchi
;
Juergen Boemmels
;
Christopher J. Wilson
;
Basoene Briggs
;
Chi Lim Tan
;
Tom Raymaekers
;
Romain Delhougne
;
Geert Van den bosch
;
Luca Di Piazza
;
Gouri Sankar Kar
;
Arnaud Furnemont
;
Andrea Fantini
;
Gabriele Luca Donadio
;
Laurent Souriau
;
Davide Crotti
;
Farrukh Yasin
;
Raf Appeltans
;
Siddharth Rao
;
Danilo De Simone
;
Paulina Rincon Delgadillo
;
Philippe Leray
;
Anne-Laure Charley
;
Daisy Zhou
;
Anabela Veloso
;
Nadine Collaert
;
Kazuhisa Hasumi
;
Shunsuke Koshihara
;
Masami Ikota
;
Yutaka Okagawa
;
Toru Ishimoto
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD SEM;
Metrology;
SAQP;
GAA;
FinFET;
3D NAND;
STT-RAM;
RE RAM;
BEOL;
4.
A complete methodology towards accuracy and lot-to-lot robustness in on-product overlay metrology using flexible wavelength selection
机译:
使用灵活的波长选择,实现产品叠加测量中准确性和批次间鲁棒性的完整方法
作者:
Kaustuve Bhattacharyya
;
Arie den Boef
;
Marc Noot
;
Omer Adam
;
Grzegorz Grzela
;
Andreas Fuchs
;
Martin Jak
;
Sax Liao
;
Ken Chang
;
Vincent Couraudon
;
Eason Su
;
Wilson Tzeng
;
Cathy Wang
;
Christophe Fouquet
;
Guo-Tsai Huang
;
Kai-Hsiung Chen
;
YC Wang
;
Kevin Cheng
;
Chih-Ming Ke
;
LG Terng
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
on-product;
diffraction;
DBO;
scatterometer;
metrology;
accuracy;
robustness;
lot to lot;
swing-curve;
5.
Reaching for the True Overlay in Advanced Nodes
机译:
在高级节点中达到真正的覆盖
作者:
Chiew-seng Koay
;
Bassem Hamieh
;
Nelson Felix
;
John Gaudiello
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
overlay;
metrology;
accuracy;
true overlay;
Blossom;
redundancy;
Qmerit;
asymmetry;
SCOL;
lithography;
SADP;
SAQP;
6.
Wafer-shape metrics based foundry lithography
机译:
基于晶圆形状度量的铸造光刻
作者:
Sungtae Kim
;
Frida Liang
;
Jeffrey Mileham
;
Damon Tsai
;
Eric Bouche
;
Sean Lee
;
Albert Huang
;
CF Hua
;
Ming Sheng Wei
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
In-plane distortion;
overlay;
Coherent Gradient Sensing (CGS);
High volume manufacturing(HVM);
depth-of-focus(DOF);
7.
Advanced in-production hotspot prediction and monitoring with micro-topography
机译:
先进的生产热点预测和微地形监测
作者:
P. Fanton
;
T. Hasan
;
A. Lakcher
;
B. Le-Gratiet
;
C. Prentice
;
J-G. Simiz
;
R. La Greca
;
L. Depre
;
S. Hunsche
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Micro-topography;
computational hotspots detection;
defect prediction;
patterning fidelity monitoring and control;
holistic lithography;
8.
High-Volume Manufacturing Device Overlay Process Control
机译:
大批量制造设备覆盖过程控制
作者:
Honggoo Lee
;
Sangjun Han
;
Jaeson Woo
;
Dong Young Lee
;
ChangRock Song
;
Hoyoung Heo
;
Irina Brinster
;
DongSub Choi
;
John C. Robinson
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
overlay metrology;
SEM overlay;
APC;
9.
Variability study with CD-SEM metrology for STT-MRAM: Correlation analysis between physical dimensions and electrical property of the memory element
机译:
利用CD-SEM计量技术对STT-MRAM进行的可变性研究:存储元件的物理尺寸和电特性之间的相关性分析
作者:
Takeyoshi Ohashi
;
Atsuko Yamaguchi
;
Kazuhisa Hasumi
;
Osamu Inoue
;
Masami Ikota
;
Gian Lorusso
;
Gabriele Luca Donadio
;
Farrukh Yasin
;
Siddharth Rao
;
Gouri Sankar Kar
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM;
STT-MRAM;
correlation analysis;
variability;
resistance measurement;
area measurement;
pillar measurement;
10.
High Throughput Electrical Characterization for Robust Overlay Lithography Control
机译:
高通量电学特性可实现可靠的叠加光刻控制
作者:
Devender Devende
;
Xumin Shen
;
Mark Duggar
;
Sunil Singh
;
Jonathan Rullan
;
Jae Choo
;
Sohan Mehta
;
Teck Jung Tang
;
Sean Reidy
;
Jonathan Holt
;
Hyung Woo Kim
;
Robert Fox
;
DK Sohn
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
Electrical Characterization;
Lithography;
Semiconductor;
11.
An OCD perspective of Line Edge and Line Width Roughness
机译:
线边缘和线宽粗糙度的OCD透视图
作者:
Ravi Bonam
;
Raja Muthinti
;
Mary Breton
;
Chi-Chun Liu
;
Stuart Sieg
;
Indira Seshadri
;
Nicole Saulnier
;
Jeffrey Shearer
;
Raghuveer Patlolla
;
Huai Huang
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
EUV Lithography;
Optical Metrology;
OCD;
Line Edge Roughness;
Line Width Roughness;
EUV Mask;
Programmed Roughness Structures;
Symmetric roughness;
Asymmetric roughness;
12.
Designed tools for analysis of lithography patterns and nanostructures
机译:
设计用于分析光刻图案和纳米结构的工具
作者:
Alexandre Derville
;
Julien Baderot
;
Guilhem Bernard
;
Johann Foucher
;
Hanna Gronqvist
;
Aurelien Labrosse
;
Sergio Martinez
;
Yann Zimmermann
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
carbon nanotubes;
edge detection;
copolymer analysis;
roughness analysis;
machine learning;
image processing;
13.
Towards a stand-alone high-throughput EUV actinic photomask inspection tool - RESCAN
机译:
迈向独立的高通量EUV光化光掩模检测工具-RESCAN
作者:
Rajendran Rajeev
;
Iacopo Mochi
;
Patrick Helfenstein
;
Istvan Mohacsi
;
Sophie Redford
;
Aldo Mozzanica
;
Bernd Schmitt
;
Shusuke Yoshitake
;
Yasin Ekinci
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Actinic patterned mask inspection;
lensless scattering methods;
EUV reticle;
high frame-rate detector;
EUV source;
14.
Topography based wafer clustering for wafer level overlay correction
机译:
基于地形的晶圆集群,用于晶圆级覆盖校正
作者:
Hongoo Lee
;
Sangjun Han
;
Heongsoo Kim
;
Boris Habets
;
Enrico Bellmann
;
Steven Tottewitz
;
Stefan Buhl
;
Martin Roeßiger
;
Seop Kim
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Non-linear overlay deformation;
deposition;
high temperature processes;
etch;
wafer level control;
clustering;
run-to-run simulation;
topography;
15.
Global minimization line-edge roughness analysis of top down SEM images
机译:
自上而下的SEM图像的全局最小化线边缘粗糙度分析
作者:
Barton Lane
;
Chris Mack
;
Nasim Eibagi
;
Peter Ventzek
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
line-edge roughness;
linewidth roughness;
stochastic-induced roughness;
LER;
LWR;
edge placement error;
16.
CD-SEM distortion quantification for EPE metrology and contour analysis
机译:
CD-SEM畸变量化用于EPE计量和轮廓分析
作者:
Harm Dillen
;
Ton Kiers
;
Sandip Halder
;
Thomas I. Wallow
;
Frieda van Roey
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM;
Distortion quantification;
Metrology;
Image distortion;
Image quality;
17.
Patterning Control Strategies for Minimum Edge Placement Error in Logic Devices
机译:
逻辑设备中最小边缘放置错误的模式控制策略
作者:
Jan Mulkens
;
Michael Hanna
;
Bram Slachter
;
Wim Tel
;
Michael Kubis
;
Mark Maslow
;
Chris Spence
;
Vadim Timoshkov
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
EUV lithography;
Edge Placement Error;
CDU;
OPC;
Overlay;
holistic patterning;
computational metrology;
18.
Impact of stochastic process variations on overlay mark fidelity towards the 5 nm node
机译:
随机工艺变化对5 nm节点重叠标记保真度的影响
作者:
Mike Adel
;
Roel Gronheid
;
Chris Mack
;
Philippe Leray
;
Evgeni Gurevich
;
Bart Baudemprez
;
Dieter Vandenheuvel
;
Antonio Mani
;
Sharon Aharon
;
Dana Klein
;
Jungtae Lee
;
Mark D. Smith
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
overlay metrology;
stochastic effects;
Line Edge Roughness;
Overlay Mark Fidelity;
Kernel3Sigma;
19.
Framework for SEM contour analysis
机译:
SEM轮廓分析框架
作者:
L. Schneider
;
V. Farys
;
E. Serret
;
C. Fenouillet-Beranger
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
SEM images;
noise reduction;
edge extraction;
skeleton;
20.
Combined process window monitoring for critical features
机译:
组合的过程窗口监控功能
作者:
Carsten Hartig
;
Bernd Schulz
;
Robert Melzer
;
Matthias Ruhm
;
Daniel Fischer
;
Stefan Buhl
;
Boris Habets
;
Martin Roeßiger
;
Manuela Gutsch
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Process window;
Rework criterion;
HVM;
Critical dimension-overlay-interaction;
Critical dimension;
design based metrology;
process control;
yield;
21.
Robust 2D patterns process variability assessment using CD-SEM contour extraction offline metrology
机译:
使用CD-SEM轮廓线提取离线方法进行稳健的2D模式过程变异性评估
作者:
Amine Lakcher
;
Bertrand Le-Gratiet
;
Julien Ducote
;
Pierre Fanton
;
Ton Kiers
;
Jan-Willem Gemmink
;
Stefan Hunsche
;
Christopher Prentice
;
Maxime Besacier
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM contours extraction;
metrology;
process variability;
hotspot;
photolithography;
etch;
22.
In-depth analysis of indirect overlay method and application in production environment
机译:
间接覆盖方法的深入分析及其在生产环境中的应用
作者:
Detlef Hofmann
;
Frank Rabe
;
Stefan Buhl
;
Wan-Soo Kim
;
Boris Habets
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Indirect overlay;
virtual metrology;
run-to-run;
HVM;
throughput;
interpolated overlay;
dispositioning;
in-transparent stack;
23.
Metrology Capabilities and Needs for 7 nm and 5 nm Logic Nodes
机译:
7 nm和5 nm逻辑节点的计量功能和需求
作者:
Benjamin Bunday
;
Eric Solecky
;
Alok Vaid
;
A. F. Bello
;
Xintuo Dai
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
metrology;
gaps analysis;
critical dimension;
defect;
films;
CD-SEM;
OCD;
X-ray;
EBI;
overlay;
24.
In-line E-beam Metrology and Defect Inspection: Industry Reflections, Hybrid E-beam Opportunities, Recommendations and Predictions
机译:
在线电子束计量学和缺陷检查:行业思考,混合电子束机会,建议和预测
作者:
Eric Solecky
;
Allen Rasafar
;
Jason Cantone
;
Benjamin Bunday
;
Alok Vaid
;
Oliver Patterson
;
Andrew Stamper
;
Kevin Wu
;
Ralf Buengener
;
Weihao Weng
;
Xintuo Dai
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
E-beam;
Metrology;
Defect Inspection;
CDU;
CD;
Multi-E-beam;
hybrid E-beam;
multiple E-beam;
25.
Smart Sampling for process control
机译:
用于过程控制的智能采样
作者:
Jeffrey Weintraub
;
Scott Warrick
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Smart sampling;
control errors;
jeopardy;
26.
Level crossing methodology applied to line-edge roughness characterization
机译:
水平交叉方法应用于线边缘粗糙度表征
作者:
Chris A. Mack
;
Timothy A. Brunner
;
Xuemei Chen
;
Lei Sun
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
line-edge roughness;
linewidth roughness;
stochastic-induced roughness;
LER;
LWR;
level crossing;
27.
Multitaper and Multisegment Spectral Estimation of Line-Edge Roughness
机译:
线边缘粗糙度的多锥度和多段谱估计
作者:
Yao Luo
;
Serap A. Savari
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Power spectrum estimation;
spectral leakage;
line-edge roughness;
28.
A hybrid solution using computational prediction and measured data to accurately determine process corrections with reduced overlay sampling
机译:
使用计算预测和测量数据的混合解决方案,以减少重叠采样的方式准确确定过程校正
作者:
Ben F. Noyes III
;
Babak Mokaberi
;
Ram Mandoy
;
Alex Pate
;
Ralph Huijgen
;
Mike McBurney
;
Owen Chen
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
APC;
CPE;
overlay;
metrology;
sampling;
control system;
29.
A new method for wafer quality monitoring using semiconductor process big data
机译:
利用半导体工艺大数据监测晶圆质量的新方法
作者:
Younghoon Sohn
;
Hyun Lee
;
Yusin Yang
;
Chungsam Jun
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
process big data;
wafer quality monitoring wafer inspection and metrology;
in-situ metrology;
30.
Hybrid scatterometry measurement for BEOL process control
机译:
用于BEOL过程控制的混合散射测量
作者:
Padraig Timoney
;
Alok Vaid
;
Byung Cheol Kang
;
Haibo Liu
;
Paul Isbester
;
Marjorie Cheng
;
Susan Ng-Emans
;
Naren Yellai
;
Matt Sendelbach
;
Roy Kore
;
Oram Gedalia
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
OCD;
scatterometry;
hybrid metrology;
BEOL;
process control;
variability;
dielectric;
31.
Sub-wavelength transmission and reflection-mode tabletop imaging with 13nm illumination via ptychography CDI
机译:
通过分型术CDI进行13nm照明的亚波长透射和反射模式桌面成像
作者:
Michael Tanksalvala
;
Christina L. Porter
;
Dennis F. Gardner
;
Michael Gerrity
;
Giulia F. Mancini
;
Xiaoshi Zhang
;
Galen P. Miley
;
Elisabeth R. Shanblatt
;
Benjamin R. Galloway
;
Charles S. Bevis
;
Robert Karl Jr.
;
Daniel A. Adams
;
Henry C. Kapteyn
;
Margaret M. Murnane
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Coherent diffraction imaging;
ptychography;
13 nm imaging;
actinic inspection;
reflection mode imaging;
high NA;
sub-wavelength imaging;
mask inspection;
32.
Application of actinic mask review system for the preparation of HVM EUV lithography with defect free mask
机译:
光化掩模审查系统在无缺陷掩模制备HVM EUV光刻中的应用
作者:
Jihoon Na
;
Donggun Lee
;
Changhwan Do
;
Hong-seok Sim
;
Jung-Hwan Lee
;
Jungyoup Kim
;
Hwan-Seok Seo
;
Heebom Kim
;
Chan Uk Jeon
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
high harmonic generation (HHG) EUV source;
EUV defect review system;
actinic review;
33.
Patterned Wafer Geometry Grouping for Improved Overlay Control
机译:
图案化晶圆几何分组以改善覆盖控制
作者:
Honggoo Lee
;
Sangjun Han
;
Jaeson Woo
;
Junbeom Park
;
Changrock Song
;
Fatima Anis
;
Pradeep Vukkadala
;
Sanghuck Jeon
;
DongSub Choi
;
Kevin Huang
;
Hoyoung Heo
;
Mark D Smith
;
John C. Robinson
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
wafer shape;
wafer stress;
patterned wafer geometry;
34.
The Diana Nyyssonen Memorial Award for Best Paper
机译:
戴安娜·尼森纪念奖最佳论文奖
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
35.
The Karel Urbanek Best Student Paper Award
机译:
Karel Urbanek最佳学生论文奖
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
36.
Required Metrology and Inspection for Nanoimprint Lithography
机译:
纳米压印光刻所需的计量和检查
作者:
Masafumi Asano
;
Hideaki Abe
;
Kazuto Matsuki
;
Ryoji Yoshikawa
;
Motofumi Komori
;
Takashi Hirano
;
Shinji Mikami
;
Yongho Kim
;
Eunhyuk Choi
;
Wooyung Jung
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
nanoimprint;
NIL;
template;
inspection;
metrology;
residual layer;
RLT;
37.
Introduction
机译:
介绍
作者:
Martha I. Sanchez
;
Vladimir A. Ukraintsev
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
38.
High-Volume Manufacturing Device Overlay Process Control
机译:
高批量制造装置覆盖过程控制
作者:
Honggoo Lee
;
Sangjun Han
;
Jaeson Woo
;
Dong Young Lee
;
ChangRock Song
;
Hoyoung Heo
;
Irina Brinster
;
DongSub Choi
;
John C. Robinson
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
overlay metrology;
SEM overlay;
APC;
39.
An OCD perspective of Line Edge and Line Width Roughness
机译:
线边缘和线宽粗糙度的OCD视角
作者:
Ravi Bonam
;
Raja Muthinti
;
Mary Breton
;
Chi-Chun Liu
;
Stuart Sieg
;
Indira Seshadri
;
Nicole Saulnier
;
Jeffrey Shearer
;
Raghuveer Patlolla
;
Huai Huang
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
EUV Lithography;
Optical Metrology;
OCD;
Line Edge Roughness;
Line Width Roughness;
EUV Mask;
Programmed Roughness Structures;
Symmetric roughness;
Asymmetric roughness;
40.
High Throughput Electrical Characterization for Robust Overlay Lithography Control
机译:
高吞吐量电气表征鲁棒覆盖光刻控制
作者:
Devender Devende
;
Xumin Shen
;
Mark Duggar
;
Sunil Singh
;
Jonathan Rullan
;
Jae Choo
;
Sohan Mehta
;
Teck Jung Tang
;
Sean Reidy
;
Jonathan Holt
;
Hyung Woo Kim
;
Robert Fox
;
DK Sohn
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
Electrical Characterization;
Lithography;
Semiconductor;
41.
Variability study with CD-SEM metrology for STT-MRAM: Correlation analysis between physical dimensions and electrical property of the memory element
机译:
与STT-MRAM的CD-SEM计量的变化研究:存储元件物理尺寸与电气特性的相关分析
作者:
Takeyoshi Ohashi
;
Atsuko Yamaguchi
;
Kazuhisa Hasumi
;
Osamu Inoue
;
Masami Ikota
;
Gian Lorusso
;
Gabriele Luca Donadio
;
Farrukh Yasin
;
Siddharth Rao
;
Gouri Sankar Kar
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM;
STT-MRAM;
correlation analysis;
variability;
resistance measurement;
area measurement;
pillar measurement;
42.
Towards a stand-alone high-throughput EUV actinic photomask inspection tool - RESCAN
机译:
朝着独立的高通量EUV光化光掩模检查工具 - Rescan
作者:
Rajendran Rajeev
;
Iacopo Mochi
;
Patrick Helfenstein
;
Istvan Mohacsi
;
Sophie Redford
;
Aldo Mozzanica
;
Bernd Schmitt
;
Shusuke Yoshitake
;
Yasin Ekinci
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Actinic patterned mask inspection;
lensless scattering methods;
EUV reticle;
high frame-rate detector;
EUV source;
43.
CD-SEM distortion quantification for EPE metrology and contour analysis
机译:
EPE计量和轮廓分析的CD-SEM失真量化
作者:
Harm Dillen
;
Ton Kiers
;
Sandip Halder
;
Thomas I. Wallow
;
Frieda van Roey
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM;
Distortion quantification;
Metrology;
Image distortion;
Image quality;
44.
Designed tools for analysis of lithography patterns and nanostructures
机译:
用于分析光刻图案和纳米结构的设计工具
作者:
Alexandre Derville
;
Julien Baderot
;
Guilhem Bernard
;
Johann Foucher
;
Hanna Gronqvist
;
Aurelien Labrosse
;
Sergio Martinez
;
Yann Zimmermann
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
carbon nanotubes;
edge detection;
copolymer analysis;
roughness analysis;
machine learning;
image processing;
45.
Topography based wafer clustering for wafer level overlay correction
机译:
基于地形基于晶圆级覆盖校正的晶圆聚类
作者:
Hongoo Lee
;
Sangjun Han
;
Heongsoo Kim
;
Boris Habets
;
Enrico Bellmann
;
Steven Tottewitz
;
Stefan Buhl
;
Martin Roe?iger
;
Seop Kim
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Non-linear overlay deformation;
deposition;
high temperature processes;
etch;
wafer level control;
clustering;
run-to-run simulation;
topography;
46.
Global minimization line-edge roughness analysis of top down SEM images
机译:
全局最小化线边缘粗糙度分析顶部SEM图像
作者:
Barton Lane
;
Chris Mack
;
Nasim Eibagi
;
Peter Ventzek
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
line-edge roughness;
linewidth roughness;
stochastic-induced roughness;
LER;
LWR;
edge placement error;
47.
Patterning Control Strategies for Minimum Edge Placement Error in Logic Devices
机译:
逻辑设备中最小边缘放置错误的图案化控制策略
作者:
Jan Mulkens
;
Michael Hanna
;
Bram Slachter
;
Wim Tel
;
Michael Kubis
;
Mark Maslow
;
Chris Spence
;
Vadim Timoshkov
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
EUV lithography;
Edge Placement Error;
CDU;
OPC;
Overlay;
holistic patterning;
computational metrology;
48.
Framework for SEM contour analysis
机译:
SEM轮廓分析的框架
作者:
L. Schneider
;
V. Farys
;
E. Serret
;
C. Fenouillet-Beranger
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
SEM images;
noise reduction;
edge extraction;
skeleton;
49.
Impact of stochastic process variations on overlay mark fidelity towards the 5 nm node
机译:
随机过程变化对覆盖标记保真度的影响朝向5 nm节点
作者:
Mike Adel
;
Roel Gronheid
;
Chris Mack
;
Philippe Leray
;
Evgeni Gurevich
;
Bart Baudemprez
;
Dieter Vandenheuvel
;
Antonio Mani
;
Sharon Aharon
;
Dana Klein
;
Jungtae Lee
;
Mark D. Smith
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
overlay metrology;
stochastic effects;
Line Edge Roughness;
Overlay Mark Fidelity;
Kernel3Sigma;
50.
Combined process window monitoring for critical features
机译:
关键功能的组合过程窗口监控
作者:
Carsten Hartig
;
Bernd Schulz
;
Robert Melzer
;
Matthias Ruhm
;
Daniel Fischer
;
Stefan Buhl
;
Boris Habets
;
Martin Roe?iger
;
Manuela Gutsch
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Process window;
Rework criterion;
HVM;
Critical dimension-overlay-interaction;
Critical dimension;
design based metrology;
process control;
yield;
51.
Robust 2D patterns process variability assessment using CD-SEM contour extraction offline metrology
机译:
强大的2D模式使用CD-SEM轮廓提取离线计量的变化评估
作者:
Amine Lakcher
;
Bertrand Le-Gratiet
;
Julien Ducote
;
Pierre Fanton
;
Ton Kiers
;
Jan-Willem Gemmink
;
Stefan Hunsche
;
Christopher Prentice
;
Maxime Besacier
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM contours extraction;
metrology;
process variability;
hotspot;
photolithography;
etch;
52.
High-NA Optical CD Metrology on small In-Cell targets, enabling improved higher order dose control and process control for Logic
机译:
小型细胞靶标高NA光学CD计量,使逻辑的提高更高阶剂量控制和过程控制
作者:
Hugo Cramer
;
Elliott Mc Namara
;
Rik van Laarhoven
;
Ram Jaganatharaja
;
Isabel de la Fuente
;
Sharon Hsu
;
Filippo Belletti
;
Milos Popadic
;
Ward Tu
;
Wade Huang
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD metrology;
OCD;
Scatterometry;
Target size;
In-device metrology;
CD control;
process monitoring;
process control;
53.
1.5 nm fabrication of test patterns for characterization of metrological systems
机译:
1.5 nm制造测试模式,用于表征计量系统
作者:
S. Babin
;
N. Bouet
;
S. Cabrini
;
G. Calafiore
;
R. Conley
;
G.Gevorkyan
;
K. Munechika
;
A. Vladar
;
V. V. Yashchuk
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
metrology;
nanometrology;
SEM;
CD-SEM;
system characterization;
pseudo-random;
power spectral density;
contrast transfer function;
54.
SEM imaging capability for advanced nano-structures and its application to metrology
机译:
SEM成像能力为先进的纳米结构及其对计量的应用
作者:
Makoto Suzuki
;
Uki Ikeda
;
Yuji Kasai
;
Yuzuru Mizuhara
;
Takanori Kishimoto
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Scanning electron microscopy;
CD-SEM;
metrology;
secondary electrons;
backscattered electrons;
55.
Connected Component Analysis of Review-SEM Images for Sub-10 nm Node Process Verification
机译:
审查组件分析 - SEM图像用于子10 NM节点处理验证
作者:
Sandip Haider
;
Philippe Leray
;
Kaushik Sah
;
Andrew Cross
;
Paolo Parisi
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
connected components;
SEM image analysis;
process window qualification;
hotspot characterization;
process variation;
pattern fidelity;
EUV stochastics;
56.
Application of advanced hybrid metrology method to Nanoimprint Lithography
机译:
高级混合计量法在纳米压印光刻中的应用
作者:
Ilya Osherov
;
Limor Issacharoff
;
Oram Gedalia
;
Koichi Wakamoto
;
Matthew Sendelbach
;
Masafumi Asano
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
NIL;
nanoimprint lithography;
RLT;
residual layer thickness;
OCD;
scatterometry;
hybrid metrology;
proxy;
57.
Process resilient overlay target designs for advanced memory manufacture
机译:
过程弹性覆盖目标设计用于高级内存制造
作者:
Joonseuk Lee
;
Mirim Jung
;
Honggoo Lee
;
Youngsik Kim
;
Sangjun Han
;
Michael E. Adel
;
Tal Itzkovich
;
Vladimir Levinski
;
Victoria Naipak
;
Anna Golotsvan
;
Amnon Manassen
;
Yuri Paskover
;
Tom Levian
;
Efi Megged
;
Myungjun Lee
;
Mark D. Smith
;
Dohwa Lee
;
Dongsub Choi
;
Zephyr Liu
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay metrology target design;
OPC;
Lithography;
Pattern placement error (PPE);
Depth of focus (DOF);
SCOL;
AIM;
SADP;
58.
The use of computational inspection to identify process window limiting hotspots and predict sub-15 nm defects with high capture rate
机译:
使用计算检查来确定流程窗口限制热点,并预测具有高捕获速率的Sub-15 NM缺陷
作者:
Boo-Hyun Ham
;
Il-Hwan Kim
;
Sung-Sik Park
;
Sun-Young Yeo
;
Sang-Jin Kim
;
Dong-Woon Park
;
Joon-Soo Park
;
Chang-Hoon Ryu
;
Bo-Kyeong Son
;
Kyung-Bae Hwang
;
Jae-Min Shin
;
Jangho (Jerry) Shin
;
Ki-Yeop Park (Chris)
;
Sean Park
;
Lei Liu
;
Ming-Chun Tien
;
Angelique Nachtwein
;
Marinus Jochemsen
;
Philip Yan
;
Vincent Hu
;
Christopher Jones
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
lithography;
metrology;
defect inspection;
process window;
computational lithography;
59.
CD Uniformity Control for Thick Resist Process
机译:
CD均匀控制厚抗蚀剂
作者:
Chi-hao Huang
;
Yu-Lin Liu
;
Weihung Wang
;
Mars Yang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
3D memory;
staircase;
thick resist;
within-wafer CDU;
60.
Materials characterization for process integration of multi-channel gate all around (GAA) devices
机译:
多声道门的过程集成的材料表征全周围(GAA)设备
作者:
Gangadhara Raja Muthinti
;
Nicolas Loubet
;
Robin Chao
;
Abraham A. de la Pe?a
;
Juntao Li
;
Michael A. Guillorn
;
Tenko Yamashita
;
Sivananda Kanakasabapathy
;
John Gaudiello
;
Aron J. Cepler
;
Matthew Sendelbach
;
Susan Emans
;
Shay Wolfling
;
Avon Ger
;
Daniel Kandel
;
Roy Koret
;
Wei Ti Lee
;
Peter Gin
;
Kevin Matney
;
Matthew Wormington
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
OCD;
scatterometry;
gate-all-around;
GAA;
XPS;
XRF;
strain;
SiGe;
61.
Optical metrology strategies for inline 7nm CMOS logic product control
机译:
内联7NM CMOS逻辑产品控制的光学计量策略
作者:
Michael Lenahan
;
Sridhar Mahendrakar
;
Alok Vaid
;
Taher Kagalwala
;
Kartik Venkataraman
;
Dawei Hu
;
Ming Di
;
Da Song
;
Janay Camp
;
Zhou Ren
;
Nam Hee Yoon
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Thickness;
Ellipsometry;
FinFET;
Bandgap;
Films on Grating;
62.
High throughput and dense sampling metrology for process control
机译:
过程控制的高吞吐量和致密采样计量
作者:
Lei Sun
;
Tsunehito Kohyama
;
Kuniaki Takeda
;
Hiroto Nozawa
;
Yuji Asakawa
;
Taher Kagalwala
;
Granger Lobb
;
Frank Mont
;
Xintuo Dai
;
Shyam Pal
;
Wenhui Wang
;
Jongwook Kye
;
Francis Goodwin
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD;
LER;
dose;
focus;
macro inspection;
dense sampling;
high throughput;
process control;
63.
The Diana Nyyssonen Memorial Award for Best Paper
机译:
Diana Nyyssonen纪念奖最佳纸张
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
64.
Asymmetry Overlay Correction for Lithography Processes
机译:
光刻工艺的不对称覆盖校正
作者:
Ming-Jui Chen
;
Chun-Chi Yu
;
Tang Chun Weng
;
C.-H. Chang
;
Charlie Chen
;
Chia Ching Lin
;
En Chuan Lio
;
Chia Hsiang Yu
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
asymmetry;
overlay correction;
in spec ratio;
65.
A Pattern-Based Method to Automate Mask Inspection Files
机译:
一种基于模式的方法,用于自动化掩模检查文件
作者:
Ezni Aznida Binti Kamal Baharin
;
Mohamad Fahmi Bin Muhsain
;
Muhamad Asraf Bin Ahmad Ibrahim
;
Ahmad Nurul Ihsan Bin Ahmad Noorhani
;
Jason Sweis
;
Ya-Chieh Lai
;
Philippe Hurat
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
mask inspection;
metrology;
automation;
pattern-based;
66.
Using the Analytical Linescan Model for SEM Metrology
机译:
使用分析线模型进行SEM Metrology
作者:
Chris A. Mack
;
Benjamin D. Bunday
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD-SEM metrology;
edge detection;
line-edge roughness;
linewidth roughness;
stochastic-induced roughness;
LER;
LWR;
67.
Application of advanced diffraction based optical metrology overlay capabilities for high volume manufacturing
机译:
高级制造的高级衍射光学计量覆盖能力的应用
作者:
Kai-Hsiung Chen
;
Guo-Tsai Huang
;
Hung-Chih Hsieh
;
Wei-Feng Ni
;
S.M. Chuang
;
T.K. Chuang
;
Chih-Ming Ke
;
Jacky Huang
;
Shiuan-An Rao
;
Aysegul Cumurcu Gysen
;
Maxime d Alfonso
;
Jenny Yueh
;
Pavel Izikson
;
Aileen Soco
;
Jon Wu
;
Tjitte Nooitgedagt
;
Jeroen Ottens
;
Yong Ho Kim
;
Martin Ebert
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Metrology;
Overlay;
Scatterometry;
Lithography;
Multi-layer overlay;
68.
Hybrid methodology for on-product focus control using CD and diffraction-based focus marks
机译:
使用CD和基于衍射的焦点标记的产品ope-Project控制的混合方法
作者:
Ben F. Noyes III
;
Alex Pate
;
Steve Zhou
;
Marco J.A. van der Heijden
;
Kevin Park
;
Reza Sadat Gousheh
;
Ralph T. Huijgen
;
Michael S. McBurney
;
Francois van Loon
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
DBF;
focus;
APC;
integrated metrology;
excursion detection;
machine setup;
focus matching;
69.
Scatterometry control for multiple electron beam lithography
机译:
多电子束光刻的散射测定法控制
作者:
Yoann Blancquaert
;
Nivea Figueiro
;
Thibault Labbaye
;
Francisco Sanchez
;
Stephane Heraud
;
Roy Koret
;
Matthew Sendelbach
;
Ralf Michel
;
Shay Wolfling
;
Stephane Rey
;
Laurent Pain
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
scatterometry;
alternative lithography;
e-beam lithography;
multibeam;
multiple e-beam;
dose variation;
TMU;
TMU analysis;
70.
Efficient hybrid metrology for focus, CD, and overlay
机译:
焦点,CD和覆盖的高效混合计量
作者:
W.T.Tel
;
B.Segers
;
R.Anunciado
;
Y.Zhang
;
P.Wong
;
T.Hasan
;
C.Prentice
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Metrology;
focus;
overlay;
CD;
hybrid;
scanner levelling;
topography;
scanner;
patterning;
71.
New alignment mark design structures for higher diffraction order wafer quality enhancement
机译:
新的对齐标记设计结构,用于较高衍射顺序晶圆质量增强
作者:
Libin Zhang
;
Yaobin Feng
;
Lisong Dong
;
Xiaojing Su
;
Zhengguo Tian
;
Chao Fang
;
Yayi Wei
;
Tianchun Ye
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Alignment mark;
grating structures;
wafer quality (WQ);
diffraction order;
SMASH;
Overlay;
72.
Evaluating the Effects of Modeling Errors for Isolated Finite 3-D Targets
机译:
评估孤立有限3-D目标建模误差的影响
作者:
Mark-Alexander Henn
;
Bryan M. Barnes
;
Hui Zhou
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
electromagnetic simulation;
sensitivity and uncertainty evaluation;
through-focus three-dimensional field;
73.
Reducing the Overlay Metrology Sensitivity to Perturbations of the Measurement Stack
机译:
降低测量堆栈扰动的覆盖计量敏感性
作者:
Yue Zhou
;
DeNeil Park
;
Karsten Gutjahr
;
Abhishek Gottipati
;
Tarn Vuong
;
Sung Yong Bae
;
Nicholas Stokes
;
Aiqin Jiang
;
Po Ya Hsu
;
Mark OMahony
;
Andrea Donini
;
Bart Visser
;
Chris de Ruiter
;
Grzegorz Grzela
;
Hans van der Laan
;
Martin Jak
;
Pavel Izikson
;
Stephen Morgan
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Metrology;
Overlay;
Scatterometry;
Lithography;
Robustness;
Accuracy;
HMQ;
μDBO;
74.
Advanced optical modeling of TiN metal hard mask for scatterometric critical dimension metrology
机译:
锡金属硬掩模的先进光学建模,用于散射临界尺寸计量
作者:
Peter Ebersbach
;
Adam M. Urbanowicz
;
Dmitriy Likhachev
;
Carsten Hartig
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
TiN hardmask;
optical properties;
thin metals;
optical modeling;
scatterometry;
OCD;
75.
Anamorphic approach for developing hi-efflciency illumination system to inspect defects on semiconductor wafers
机译:
用于开发高潮流照明系统的变形方法,以检查半导体晶片的缺陷
作者:
Woojun Han
;
Sunseok Yang
;
Ohhyung Kwon
;
Seungyoung Chu
;
Seungchul Oh
;
Woosung Jung
;
Jaisoon Kim
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
inspection;
optical;
illumination;
ultra violet;
anamorphic;
76.
Enabling CD SEM Metrology for 5nm Technology Node and Beyond
机译:
为5NM技术节点和超越启用CD SEM Metrology
作者:
Gian Francesco Lorusso
;
Takeyoshi Ohashi
;
Astuko Yamaguchi
;
Osamu Inoue
;
Takumichi Sutani
;
Naoto Horiguchi
;
Juergen Boemmels
;
Christopher J. Wilson
;
Basoene Briggs
;
Chi Lim Tan
;
Tom Raymaekers
;
Romain Delhougne
;
Geert Van den bosch
;
Luca Di Piazza
;
Gouri Sankar Kar
;
Arnaud Furnemont
;
Andrea Fantini
;
Gabriele Luca Donadio
;
Laurent Souriau
;
Davide Crotti
;
Farrukh Yasin
;
Raf Appeltans
;
Siddharth Rao
;
Danilo De Simone
;
Paulina Rincon Delgadillo
;
Philippe Leray
;
Anne-Laure Charley
;
Daisy Zhou
;
Anabela Veloso
;
Nadine Collaert
;
Kazuhisa Hasumi
;
Shunsuke Koshihara
;
Masami Ikota
;
Yutaka Okagawa
;
Toru Ishimoto
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
CD SEM;
Metrology;
SAQP;
GAA;
FinFET;
3D NAND;
STT-RAM;
RE RAM;
BEOL;
77.
Image Based Overlay Measurement Improvements of 28 nm FD-SOI CMOS Front-End critical steps
机译:
基于图像的叠加测量28 nm FD-SOI CMOS前端关键步骤的改进
作者:
F. Dettoni
;
T. Shapoval
;
R. Bouyssou
;
T. Itzkovich
;
R. Haupt
;
C. Dezauzier
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
FD-SOI;
Accuracy;
Qmerit;
Metrology;
Asymmetry;
Target design;
Image Based Overlay;
78.
A complete methodology towards accuracy and lot-to-lot robustness in on-product overlay metrology using flexible wavelength selection
机译:
使用柔性波长选择的产品覆盖计量中的准确性和批量鲁棒性的完整方法
作者:
Kaustuve Bhattacharyya
;
Arie den Boef
;
Marc Noot
;
Omer Adam
;
Grzegorz Grzela
;
Andreas Fuchs
;
Martin Jak
;
Sax Liao
;
Ken Chang
;
Vincent Couraudon
;
Eason Su
;
Wilson Tzeng
;
Cathy Wang
;
Christophe Fouquet
;
Guo-Tsai Huang
;
Kai-Hsiung Chen
;
YC Wang
;
Kevin Cheng
;
Chih-Ming Ke
;
LG Terng
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Overlay;
on-product;
diffraction;
DBO;
scatterometer;
metrology;
accuracy;
robustness;
lot to lot;
swing-curve;
79.
Reaching for the True Overlay in Advanced Nodes
机译:
达到高级节点中的真实叠加层
作者:
Chiew-seng Koay
;
Bassem Hamieh
;
Nelson Felix
;
John Gaudiello
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
overlay;
metrology;
accuracy;
true overlay;
Blossom;
redundancy;
Qmerit;
asymmetry;
SCOL;
lithography;
SADP;
SAQP;
80.
Wafer-shape metrics based foundry lithography
机译:
基于晶圆标志的铸造光刻
作者:
Sungtae Kim
;
Frida Liang
;
Jeffrey Mileham
;
Damon Tsai
;
Eric Bouche
;
Sean Lee
;
Albert Huang
;
CF Hua
;
Ming Sheng Wei
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
In-plane distortion;
overlay;
Coherent Gradient Sensing (CGS);
High volume manufacturing(HVM);
depth-of-focus(DOF);
81.
Advanced in-production hotspot prediction and monitoring with micro-topography
机译:
先进的生产热点预测和微型地形监测
作者:
P. Fanton
;
T. Hasan
;
A. Lakcher
;
B. Le-Gratiet
;
C. Prentice
;
J-G. Simiz
;
R. La Greca
;
L. Depre
;
S. Hunsche
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Micro-topography;
computational hotspots detection;
defect prediction;
patterning fidelity monitoring and control;
holistic lithography;
82.
Smart Sampling for process control
机译:
用于过程控制的智能采样
作者:
Jeffrey Weintraub
;
Scott Warrick
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Smart sampling;
control errors;
jeopardy;
83.
Metrology Capabilities and Needs for 7 nm and 5 nm Logic Nodes
机译:
计量能力和7 nm和5 nm逻辑节点的需求
作者:
Benjamin Bunday
;
Eric Solecky
;
Alok Vaid
;
A. F. Bello
;
Xintuo Dai
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
metrology;
gaps analysis;
critical dimension;
defect;
films;
CD-SEM;
OCD;
X-ray;
EBI;
overlay;
84.
Level crossing methodology applied to line-edge roughness characterization
机译:
水平交叉方法应用于线边缘粗糙度表征
作者:
Chris A. Mack
;
Timothy A. Brunner
;
Xuemei Chen
;
Lei Sun
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
line-edge roughness;
linewidth roughness;
stochastic-induced roughness;
LER;
LWR;
level crossing;
85.
In-depth analysis of indirect overlay method and application in production environment
机译:
深度分析间接覆盖方法和生产环境中的应用
作者:
Detlef Hofmann
;
Frank Rabe
;
Stefan Buhl
;
Wan-Soo Kim
;
Boris Habets
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Indirect overlay;
virtual metrology;
run-to-run;
HVM;
throughput;
interpolated overlay;
dispositioning;
in-transparent stack;
86.
Multitaper and Multisegment Spectral Estimation of Line-Edge Roughness
机译:
线边粗糙度的多兆和多仪谱估计
作者:
Yao Luo
;
Serap A. Savari
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Power spectrum estimation;
spectral leakage;
line-edge roughness;
87.
In-line E-beam Metrology and Defect Inspection: Industry Reflections, Hybrid E-beam Opportunities, Recommendations and Predictions
机译:
在线电子束计量和缺陷检查:行业思考,混合电子束机会,建议和预测
作者:
Eric Solecky
;
Allen Rasafar
;
Jason Cantone
;
Benjamin Bunday
;
Alok Vaid
;
Oliver Patterson
;
Andrew Stamper
;
Kevin Wu
;
Ralf Buengener
;
Weihao Weng
;
Xintuo Dai
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
E-beam;
Metrology;
Defect Inspection;
CDU;
CD;
Multi-E-beam;
hybrid E-beam;
multiple E-beam;
88.
SEM based overlay measurement between Via patterns and buried Ml patterns using high voltage SEM
机译:
使用高压SEM的VIA模式和掩埋ML图案之间的SEM基于覆盖度测量
作者:
Kazuhisa Hasumi
;
Osamu Inoue
;
Yutaka Okagawa
;
Chuanyu Shao
;
Philippe Leray
;
Sandip Halder
;
Gian Lorusso
;
Christiane Jehoul
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
High Voltage SEM;
Overlay;
See-through;
89.
SEM Image Prediction Based on Modeling of Electron-Solid Interaction
机译:
基于电子 - 固相互作用建模的SEM图像预测
作者:
Toshimasa Kameda
;
Satoshi Takada
;
Makoto Suzuki
;
Toshiyuki Yokosuka
;
Sergey Borisov
;
Sergey Babin
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
SEM image;
Monte Carlo;
BSE simulation;
charging simulation;
90.
Advanced applications of scatterometry based optical metrology
机译:
基于散射测量的光学计量的先进应用
作者:
Dhairya Dixit
;
Nick Keller
;
Taher Kagalwala
;
Fiona Recchia
;
Yevgeny Lifshitz
;
Alexander Elia
;
Vinit Todi
;
Jody Fronheiser
;
Alok Vaid
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
91.
Lab- and field-test results of MFIG, the first real-time vacuum-contamination sensor
机译:
MFIG的实验室和现场测试结果,第一个实时真空污染传感器
作者:
Diederik Maas
;
Pim Muilwijk
;
Michel van Putten
;
Frank de Graaf
;
Olaf Kievit
;
Patrique Boerboom
;
Norbert Koster
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Molecular contamination;
Vacuum;
Sensor;
Detection;
Monitoring;
Carbon contamination;
Semiconductor manufacturing;
Yield enhancement;
92.
Monitoring of multi patterning processes in production environment
机译:
监测生产环境中多图案化过程
作者:
Sangjun Han
;
Honggo Lee
;
Jaesun Woo
;
Seungyoung Kim
;
Wan-Soo Kim
;
Stefan Buhl
;
Boris Habets
;
Seop Kim
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Multi patterning process;
SADP;
KPI;
Monitoring;
SARP;
CDU;
macro measurement;
spacer control;
93.
Monitoring of 450mm Copper Seeding and Plating Process via Dark Field Inspection Haze
机译:
通过暗场检查雾度监测450mm铜播种和电镀过程
作者:
Nithin Yathapu
;
Milo Tallon
;
Justin Brown
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Copper seeding;
copper plating;
tungsten;
Si02;
SiN;
SSIS;
haze;
94.
EPE analysis of sub-NIO BEoL flow with and without fully self-aligned via using Coventor SEMulator3D
机译:
使用COVETOR SEMULATOR3D对亚NIO BEOL流的EPE分析,无完全自对准
作者:
Joern-Holger Franke
;
Matt Gallagher
;
Gayle Murdoch
;
Sandip Haider
;
Aurelie Juncker
;
William Clark
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Scaling Boosters;
via;
fully self-aligned via;
self-aligned via;
metel to metal (me-me) minimum distance;
SAQP EUV;
Coventor;
N7;
N5;
Block;
95.
Enhanced methodology of focus control and monitoring on scanner tool
机译:
增强扫描仪工具的焦点控制和监控方法
作者:
Yen-Jen Chen
;
Young Ki Kim
;
Xueli Hao
;
Juan-Manuel Gomez
;
Ye Tian
;
Ferhad Kamalizadeh
;
Justin K. Hanson
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
BaseLiner;
BMMO;
focus monitoring;
focus control;
DBF;
scatterometry;
96.
High-throughput multi-beam SEM: quantitative analysis of imaging capabilities at imec-NIO logic node
机译:
高吞吐量多光束SEM:IMEC-NIO逻辑节点上的成像功能的定量分析
作者:
J. T. Neumann
;
T. Garbowski
;
W. H?gele
;
T. Korb
;
S. Halder
;
P. Leray
;
R. Garreis
;
M. le Maire
;
D. Zeidler
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Multi-beam;
inspection;
CD;
image processing;
97.
Assessing the wavelength extensibility of optical patterned defect inspection
机译:
评估光学图案缺陷检查的波长延长性
作者:
Bryan M. Barnes
;
Hui Zhou
;
Mark-Alexander Henn
;
Martin Y. Sohn
;
Richard M. Silver
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
defect metrology;
extreme ultraviolet;
EUV;
vacuum ultraviolet;
VUV;
deep ultraviolet;
DUV;
defect inspection;
finite-difference time-domain;
simulation;
simulated imaging;
98.
Fabrication of Metrology Test Structures with Helium Ion Beam Direct Write
机译:
用氦离子束直接写入的计量测试结构的制造
作者:
Chien-Lin Lee
;
Sheng-Wei Chien
;
Sheng-Yung Chen
;
Chun-Hung Liu
;
Kuen-Yu Tsai
;
Jia-Han Li
;
Bor-Yuan Shew
;
Chit-Sung Hong
;
Chao-Te Lee
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Metrology;
lithography;
helium ion beam direct milling;
programmed imperfection fabrication;
99.
Precise Design-Based Defect Characterization and Root Cause Analysis
机译:
基于设计的基于设计的缺陷表征和根本原因分析
作者:
Qian Xie
;
Panneerselvam Venkatachalam
;
Julie Lee
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
SEM to Design Overlay;
Region of Interest;
Design-Based Detection;
Root Cause Analysis;
Design-Based Pattern Classification;
Inline Defect to Weakpoint Correlation;
Weakpoint Ranking;
100.
Pattern centric design based sensitive patterns and process monitor in manufacturing
机译:
基于模式的基于设计的敏感模式和工艺监视器
作者:
Chingyun Hsiang
;
Guojie Cheng
;
Kechih Wub
会议名称:
《Conference on metrology, inspection, and process control for microlithography XXXI》
|
2017年
关键词:
Design based;
Multi-layer;
contour;
defect classification;
pattern monitor;
process monitor;
意见反馈
回到顶部
回到首页