掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
China Semiconductor Technology International Conference
China Semiconductor Technology International Conference
召开年:
2015
召开地:
Shanghai(CN)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
28 nm poly-cut layer lithography process developments
机译:
28 nm多层切割工艺的发展
作者:
Bi-Qiu Liu
;
Zhi-Feng Gan
;
Zheng-Kai Yang
;
Zhi-Biao Mao
;
Xiang-Guo Meng
;
Quan-Bo Li
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
2.
32/28nm BEOL Cu gap-fill challenges for metal film
机译:
用于金属膜的32 / 28nm BEOL Cu间隙填充挑战
作者:
Xuezhen Jing
;
Jingjing Tan
;
Jiquan Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
3.
40Nm contact related process optimization for defect reduction
机译:
40Nm接触相关的工艺优化,可减少缺陷
作者:
Zhibin He
;
Xubin Jing
;
Jian Cao
;
Yuming Qiu
;
Junhua Yan
;
Jun Zhou
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
4.
40nm offset spacer process optimization to improve device stability and mismatch
机译:
40nm偏置间隔器工艺优化可改善器件稳定性和失配
作者:
Chen Ji
;
Zhibin He
;
Xubin Jing
;
Wei Liu
;
Wenlong Chang
;
Yu Zhang
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
5.
A fast 3-D TCAD structure generation method for FinFET devices and circuits simulation
机译:
FinFET器件和电路仿真的快速3-D TCAD结构生成方法
作者:
Yuwei Gu
;
Chengqing Wei
;
Guohe Zhang
;
Xuejie Shi
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
6.
A high sensitivity alcohol gas sensor based on TiO
2
thin films
机译:
基于TiO
2 inf>薄膜的高灵敏度酒精气体传感器
作者:
Zhang Hanxia
;
Wei Wanli
;
Zhang Kailiang
;
Wang Fang
;
Yuan Yujie
;
Yang Zhengchun
;
Zhang Tiantian
;
Sun Shijiu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
7.
A sub-10nm U-shape FinFET design with suppressed leakage current and DIBL effect
机译:
低于10nm的U型FinFET设计,具有抑制的泄漏电流和DIBL效应
作者:
Wei-Chao Zhou
;
Peng-Fei Wang
;
Zhang David Wei
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
8.
A new method to calculate intensity distribution in source mask optimization
机译:
源掩模优化中计算强度分布的新方法
作者:
Yehua Zuo
;
Jinyu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
9.
A new simulation method for single photon avalanche diode
机译:
单光子雪崩二极管仿真的新方法
作者:
Xiaopeng Xie
;
Yue Xu
;
Yang Huang
;
YuFeng Guo
;
Xiaoqing Chen
;
Heng Yue
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
10.
A novel Hall dynamic offset cancellation circuit based on four-phase spinning current technique
机译:
基于四相旋转电流技术的新型霍尔动态失调对消电路
作者:
Xiaoqing Chen
;
Yue Xu
;
Xiaopeng Xie
;
YuFeng Guo
;
Yang Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
11.
A novel Hspice macro model for the ESD behavior of gate grounded NMOS and gate coupled NMOS
机译:
用于栅极接地NMOS和栅极耦合NMOS ESD行为的新型Hspice宏模型
作者:
Shao Ming Yang
;
Hema Ep
;
Gene Sheu
;
Mrinal Aryadeep
;
Amanullah Md
;
Pa Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
12.
A novel sense-amplifier based flip-flop with bulk-driven technique
机译:
一种具有批量驱动技术的新型基于感测放大器的触发器
作者:
Xiaoying Deng
;
Yanyan Mo
;
Xihui Tang
;
Xin Lin
;
Liu Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
13.
A pseudo C-2C and CBW hybrid DAC structure used for SAR ADC
机译:
用于SAR ADC的伪C-2C和CBW混合DAC结构
作者:
Xiaoxu Meng
;
Wenliang Geng
;
Yang Cao
;
Guoxing Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
14.
A self-compliance RRAM device for high density cross-point array applications
机译:
用于高密度交叉点阵列应用的自兼容RRAM器件
作者:
Feiyang Huang
;
Huaqiang Wu
;
Xinyi Li
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
15.
A study of pattern transfer fidelity during metal hard-mask open
机译:
金属硬掩模打开过程中图案转印保真度的研究
作者:
Dalin Yao
;
Ruixuan Huang
;
Qiyang He
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
16.
A study of self-aligned contact etch of NOR flash
机译:
NOR闪存自对准接触刻蚀的研究
作者:
Erhu Zheng
;
Yiying Zhang
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
17.
Accelerating timing closure using incremental advanced OCV
机译:
使用增量高级OCV加速时序收敛
作者:
Chunyang Feng
;
Shyamsukha Ritesh
;
Radhakrishnan Shankar
;
Jianquan Zheng
;
Gao Alice
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
18.
Advanced process control applications for advanced CMP process
机译:
用于高级CMP过程的高级过程控制应用程序
作者:
Jun Yang
;
Yi Shih Lin
;
Yang SiYuan Frank
;
Yi Huang
;
Qun Shao
;
Hongtao Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
advanced process control;
chemical mechanical polishing;
partition;
profile control;
run to run control;
safety guarding features;
19.
Advances n-type nc-Si:H layers depositing on passivation layer applied to the back surface field prepared by RF-PECVD
机译:
推进沉积在钝化层上的n型nc-Si:H层沉积到通过RF-PECVD制备的背面场上
作者:
Chia-Cheng Lu
;
Yu-Lin Hsieh
;
Pei-Shen Wu
;
Chien-Chieh Lee
;
Yen-Ho Chu
;
Jenq-Yang Chang
;
I-Chen Chen
;
Li Tomi T.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
20.
An analytical model of effects of 2-D pad surface textures on contact pressure distribution during CMP
机译:
CMP期间二维焊盘表面纹理对接触压力分布影响的分析模型
作者:
Lixiao Wu
;
Changfeng Yan
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
21.
An empirical study of quality improvement on SiP assembly issue
机译:
SiP装配质量改进的实证研究
作者:
Ye Samuel
;
Kai Chang
;
Dan Su
;
Yu Lei
;
Kungang Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
22.
An immersion scanner enabling 10 nm half pitch production and high productivity
机译:
浸没式扫描仪可实现10 nm半间距生产和高生产率
作者:
Suzuki Tsuyoshi
;
Egashira Hiroyuki
;
Shirata Yosuke
;
Matsuyama Tomoyuki
;
Imai Motokatsu
;
Kanaya Reiji
;
Tsuzuki Takao
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
23.
An optimized and unified system for FPGA power-up validation to minimize post-silicon cycling time
机译:
优化和统一的系统,用于FPGA上电验证,以最大程度地减少硅后循环时间
作者:
Hua Hua
;
Hongpeng Han
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
24.
An optimized W process for metal gate electrode gap filling application
机译:
用于金属栅电极间隙填充应用的优化W工艺
作者:
Jianhua Xu
;
Xuezhen Jing
;
Xiaoniu Fu
;
Xiaona Wang
;
Jingjing Tan
;
Ziying Zhang
;
Beichao Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
D-E-D;
Gap-fill;
Gate electrode;
Low resistivity;
Metal gate;
25.
Design based inspection methodology and application in the fab
机译:
基于设计的检验方法和在晶圆厂中的应用
作者:
Jinghua Ke
;
Shopen Ofer
;
Fei Li
;
Wensheng Li
;
Chang Mike
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
26.
Ant colony algorithm for layout decomposition in double/multiple patterning lithography
机译:
双/多图案光刻中布局分解的蚁群算法
作者:
Xianhua Ke
;
Wen L.V.
;
Shiyuan Liu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
27.
APF hard mask distortion improvement for high aspect ratio patterning
机译:
APF硬掩模变形改进,可实现高长宽比图案化
作者:
Yu Bing-Lung
;
YuKai Huang
;
Shing-Ann Luo
;
Yi-Sheng Cheng
;
Yung-Tai Hung
;
Tuung Luoh
;
Lin-Wuu Yang
;
Tahone Yang
;
Kuang-Chao Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
28.
Application of measurement method on Cu-CMP process
机译:
测量方法在Cu-CMP工艺中的应用
作者:
Yi Ding
;
Yefang Zhu
;
Junhua Yan
;
Conggang Wang
;
Wenbin Fan
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
CMP;
Measurement method;
OCD and Bond Pad;
29.
Application of stress reversal of metal hardmask for 20nm and beyond
机译:
反向应力在20nm及以上金属硬掩模的应用
作者:
Zhou Jun
;
Yu Bao
;
Gao Lin
;
Zhang Liang
;
Sun Lei
;
Zhou Haifeng
;
Fang Jingxun
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
30.
Atomic layer deposition of RuO2 thin films on SiO2 using Ru(EtCp)
2
and O2 plasma
机译:
使用Ru(EtCp)
2 inf>和O2等离子体在SiO2上RuO2薄膜的原子层沉积
作者:
Hao-Xiang Zhang
;
Chun-Min Zhang
;
Peng-Fei Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
31.
Auto-metrology on TEM images of LED epitaxial layers
机译:
LED外延层的TEM图像上的自动计量
作者:
Biring Sajal
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
32.
Automated test platform for FPGA Software Validation
机译:
用于FPGA软件验证的自动化测试平台
作者:
Shixiao Yan
;
Yu Zhao
;
Ping Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
33.
Barrier CMP slurry for low topography and wide process window
机译:
用于低形貌和宽工艺范围的阻挡CMP浆料
作者:
Chen Wang
;
Renjie Zhou
;
Wenting Zhou
;
Huafeng He
;
Xing Li
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
34.
Bevel etch methods for BEOL peeling defect reduction
机译:
减少BEOL剥离缺陷的斜面蚀刻方法
作者:
Chenglong Zhang
;
Qiyang He
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
35.
Calculation method of intra-field CDU and inter-field CDU revisited for advanced immersion lithography
机译:
再论先进浸没式光刻的场内CDU和场间CDU的计算方法
作者:
Kaiting He
;
Qiang Wu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
36.
Case study of reducing excursion yield loss
机译:
减少偏移产量损失的案例研究
作者:
Ting-Pu Tai
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
37.
Challenges and solutions to FinFET gate etch process
机译:
FinFET栅极蚀刻工艺的挑战和解决方案
作者:
Qiu-Hua Han
;
Xiao-Ying Meng
;
Hai-Yang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
38.
Diagnosis driven approach for low yield analysis and identifying root causes for final compensation from wafer foundry
机译:
诊断驱动的方法可进行低产量分析,并确定从晶圆代工厂进行最终补偿的根本原因
作者:
Samuel Ye
;
Jian Wu
;
Xiuquan Li
;
Liyun Qin
;
Kungang Wang
;
Shen Clayton
;
Hailing Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
39.
Comprehensive investigation and design of Tunnel FET-based SRAM
机译:
基于隧道FET的SRAM的综合研究与设计
作者:
Hao Zhu
;
Qianqian Huang
;
Lingyi Guo
;
Libo Yang
;
Ye Le
;
Ru Huang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
40.
Compressive sensing method for production chip test
机译:
用于生产芯片测试的压缩感测方法
作者:
Bolun Zhang
;
Yifan Zhang
;
BinBin Li
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
41.
Computational techniques to incorporate shot count reduction into inverse lithography
机译:
将镜头数量减少纳入反光刻的计算技术
作者:
Xiaofei Wu
;
Shiyuan Liu
;
Lam Edmund Y.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
42.
Development of a jet-generator and its application to angular rate sensor
机译:
射流发生器的研制及其在角速度传感器中的应用
作者:
Phan Thanh Hoa
;
Thien Xuan Dinh
;
Van Thanh Dau
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
43.
Development of ito/layered a-P Si:H film stack for silicon heterojunction solar cells
机译:
用于硅异质结太阳能电池的ito /分层a-P Si:H薄膜堆叠的开发
作者:
Shibin Gu
;
Lin Zhang
;
Jin Wang
;
Mingchong Ren
;
Yanru He
;
Juan Zhang
;
Zhan Xu
;
Guangyu Chen
;
Lingling Dai
;
Guanchao Zhao
;
Qi Wang
;
Rong Yang
;
Liwei Li
;
Yuan Meng
;
Guo Ted
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
44.
Discussion on overlay control for 2X nm technology node and beyond
机译:
讨论2X nm及更高技术节点的覆盖控制
作者:
Yuntao Jiang
;
Guogui Deng
;
Bin Xing
;
Gaorong Li
;
Jinan Hao
;
Qiang Wu
会议名称:
《》
|
2015年
45.
E150 advanced 150mm Reticle SMIF Pod
机译:
E150高级150毫米标线SMIF荚
作者:
Huaping Wang
;
Schmidt Tim
;
Wiseman Brian
;
Tieben Tony
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
46.
Effect of VO
x
interlayer in Cu /HfO
x
/TiN cell and its resistive switching mechanism
机译:
VO
x inf>中间层在Cu / HfO
x inf> / TiN电池中的作用及其电阻转换机制
作者:
Zhang Hongzhi
;
Zhang Kailiang
;
Wang Fang
;
Han Yemei
;
Zhao Jinshi
;
Wang Baolin
;
Jian Xiaochuan
;
Sun Kuo
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
47.
Etch rate prediction in plasma etching using feed forward Error-Back Propagation neural network model
机译:
基于前馈误差-反向传播神经网络模型的等离子蚀刻蚀刻速率预测
作者:
Ha-Deok Song
;
Ho-Taek Noh
;
Dong-Il Kim
;
Seung-Soo Han
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
48.
High voltage NLDMOS with multiple-RESURF structure to achieve improved on-resistance
机译:
具有多重REURF结构的高压NLDMOS可实现改善的导通电阻
作者:
Shao-Ming Yang
;
Hema Ep
;
Mrinal Aryadeep
;
Amanullah Md
;
Gene Sheu
;
Pa Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
49.
Electroplating (ECP) entry related defect improvement study
机译:
电镀(ECP)入门相关的缺陷改善研究
作者:
Xuezhen Jing
;
Jingjing Tan
;
Zhijun Zhu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
ECP entry;
defect;
line end void;
50.
Epitaxial Si growth on fin for NMOS device performance improvement
机译:
鳍片上的外延Si生长以提高NMOS器件的性能
作者:
Gang Mao
;
Yong Li
;
Yang Rex
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
51.
ESD gated diode SPICE compact model
机译:
ESD门控二极管SPICE紧凑型
作者:
Zhenghao Gan
;
An Zhang
;
Waisum Wong
;
Lifei Zhang
;
Ye Haohua
;
Chien-Lung Tseng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
52.
Evaluation of Mask Fidelity using automated edge placement error measurement with CD-SEM images
机译:
使用CD-SEM图像的自动边缘放置误差测量来评估掩模保真度
作者:
Zubiao Fu
;
Shijian Zhang
;
Yi Huang
;
Yi-Shih Lin
;
Lanyan Shi
;
Cong Zhang
;
Yaoming Shi
;
Yiping Xu
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
CD-SEM;
Fidelity;
edge placement error;
sub-pixel resolution;
53.
Finite element simulation for FPCB curing process
机译:
FPCB固化过程的有限元模拟
作者:
Zheng Zhirong
;
Kevin Cui
;
Ding Pingren
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
54.
Gan-on-diamond wafers: Recent developments
机译:
刚玉金刚石薄饼:最新动态
作者:
Ejeckam Felix
;
Francis Daniel
;
Faili Firooz
;
Lowe Frank
;
Twitchen Daniel
;
Bolliger Bruce
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
55.
Hard mask profile and loading issue study in SADP process
机译:
SADP流程中的硬掩模配置文件和加载问题研究
作者:
Ermin Chong
;
YiZheng Zhu
;
ChunYan Yi
;
Xianguo Dong
;
Liang Zhang
;
Quanbo Li
;
Jun Huang
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
56.
High H2 ash process applications at advanced logic process
机译:
高级逻辑过程中的高H2灰分过程应用
作者:
Xiao-Ying Meng
;
Qiu-Hua Han
;
Hai-Yang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
57.
High temperature power electronic module packaging
机译:
高温电力电子模块包装
作者:
Ang Simon S.
;
Hao Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
58.
High-performance monocrystalline silicon could lead the photovoltaic power generation in the future
机译:
高性能单晶硅将引领未来的光伏发电
作者:
Hao Deng
;
Nannan Fu
;
Peidong Liu
;
Gang Wu
;
Feng Wang
;
Caijun Luo
;
Liangping Deng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
59.
Improvement on switching uniformity of HFO
x
-based RRAM device fabricated by CMP
机译:
CMP制造的基于HFO
x inf>的RRAM器件的开关均匀性的改进
作者:
Feng Yulin
;
Zhang Kailiang
;
Wang Fang
;
Yuan Yujie
;
Han Yemei
;
Cao Rongrong
;
Su Shuai
会议名称:
《》
|
2015年
60.
Influence of sputtering gas on resistivity of thin Ni silicide films
机译:
溅射气体对镍硅化物薄膜电阻率的影响
作者:
Imamura H.
;
Kakushima K.
;
Kataoka Y.
;
Nishiyama A.
;
Sugii N.
;
Wakabayashi H.
;
Tsutsui K.
;
Natori K.
;
Iwai H.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
61.
New Pcell based ring oscillator layout auto-generation method and application in advanced SPICE model verification
机译:
基于Pcell的新型环形振荡器布局自动生成方法及其在高级SPICE模型验证中的应用
作者:
Cheng Jia
;
Shang Ganbing
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
62.
Numerical analysis for thermal field of susceptor in MOCVD reactor
机译:
MOCVD反应器中基座温度场的数值分析
作者:
Kuo-Hung Ho
;
Chih-Kai Hu
;
Li Tomi T.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
MOCVD;
SiC susceptor;
temperature uniformity;
63.
Intrinsic point defect behavior close to silicon melt/solid interface
机译:
本征点缺陷行为接近硅熔体/固体界面
作者:
Vanhellemont Jan
;
Kamiyama Eiji
;
Nakamura Kozo
;
Sueoka Koji
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
64.
Investigation of a-SiOx:H films as passivation layer in heterojunction interface
机译:
a-SiOx:H薄膜作为异质结界面中的钝化层的研究
作者:
Che-Hung Yeh
;
Yen-Ho Chu
;
Chien-Chieh Lee
;
Yu-Lin Hsieh
;
Shian-Ming Liu
;
Jenq-Yang Chang
;
I-Chen Chen
;
Li Tomi T.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
65.
Investigation of surface plasmon enhanced organic light emitting diode by numerical analysis
机译:
表面等离子体激元增强的有机发光二极管的数值分析研究
作者:
Wan-Jung Yang
;
Chih-Kai Hu
;
Li Tomi T.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
66.
Iron contamination and reusability of seed crystal for quasi-single crystalline silicon ingots for solar cells
机译:
铁污染和用于太阳能电池的准单晶硅锭的籽晶可重复利用
作者:
Zaoyang Li
;
Lijun Liu
;
Xiaofang Qi
;
Genxiang Zhong
;
Genshu Zhou
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
67.
Low power, highly linear folded mixer employing a multiple gated transistor approach for linearity enhancement
机译:
低功耗,高度线性的折叠混频器,采用多栅极晶体管方法来增强线性度
作者:
Amin Najam Muhammad
;
Zhigong Wang
;
Zhiqun Li
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
MGTR;
g;
cancellation;
linearity enhancement;
68.
Mask corner chopping effect in OPC modeling
机译:
OPC建模中的遮罩切角效果
作者:
Weiwei Wu
;
Yu Shirui
;
Quan Chen
;
Zhibiao Mao
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
69.
Mask model analysis and its application in 28 OPC modeling
机译:
遮罩模型分析及其在28 OPC建模中的应用
作者:
Quan Chen
;
Yu Shirui
;
Zhibiao Mao
;
Yu Zhang
;
Bin Gao
;
Yanpeng Chen
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
70.
Mechanism of I–V asymmetry of MIM capacitors based on high-k dielectric
机译:
基于高k电介质的MIM电容器的IV不对称机理
作者:
Lau W.S.
;
Yu D.Q.
;
Wang X.
;
Wong H.
;
Xu Y.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
MIM capacitor;
asymmetry;
atomic force microscopy;
atomic layer deposition;
chemical vapor deposition;
high-k dielectric;
leakage current;
transmission electron microscopy;
71.
Metal hard-mask based AIO etch challenges and solutions
机译:
基于金属硬掩模的AIO蚀刻挑战和解决方案
作者:
Junqing Zhou
;
Minda Hu
;
Qiyang He
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
72.
Metal layer PWOPC solution for 28nm node and beyond
机译:
适用于28nm及更高节点的金属层PWOPC解决方案
作者:
Dan Wang
;
Yu Shirui
;
Zhibiao Mao
;
Xiang Wang
;
Yanpeng Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
PWOPC;
process window;
runtime;
73.
Method of improving dislocation for SiGe EPI process
机译:
SiGe EPI工艺中改善位错的方法
作者:
Xiangguo Meng
;
Quanbo Li
;
Jun Huang
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
74.
Method of improving enhance alignment quality in Double Patterning with Spacer process for 14–16nm FinFET
机译:
在14-16nm FinFET中使用间隔工艺在双图形中提高对准质量的方法
作者:
Xianguo Dong
;
Zhibiao Mao
;
Zhengkai Yang
;
Zhifeng Gan
;
Wuping Wang
;
Xiaobo Guo
;
Liang Zhang
;
Yang Wang
;
Ermin Chong
;
Runling Li
;
Yu Zhang
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
75.
Methods of line end cutting of small CD for 28nm technology
机译:
用于28nm技术的小CD的线端切割方法
作者:
Quanbo Li
;
Xiangguo Meng
;
Jun Tian
;
Jun Huang
;
Biqiu Liu
;
Zhonghua Li
;
Runling Li
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
76.
Modeling of detailed internal electric field in a Trench Insulated Gate Bipolar Transistor using variational thermodynamic methodology
机译:
利用变热力学方法对沟槽绝缘栅双极晶体管的详细内部电场建模
作者:
Santiago John Rose
;
Patel Krunal V.
;
Gunther Norman G.
;
Rahman Mahmudur
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
77.
Near infrared sensitive hybrid planar-bulk heterojunction organic field-effect transistors with copper hexadecafluorophthalocyanine as acceptor
机译:
以十六氟酞菁铜为受体的近红外敏感混合平面体异质结有机场效应晶体管
作者:
Liyuan Peng
;
Junkang Zhong
;
Wenli L.V.
;
Yanchang Liu
;
Yingquan Peng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
78.
Novel crosstalk minimization code for 3D IC
机译:
用于3D IC的新型串扰最小化代码
作者:
Yifan Zhang
;
BinBin Li
;
Bolun Zhang
;
Dongmei Xue
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
79.
Novel three dimensional (3D) CD-SEM profile measurements
机译:
新颖的三维(3D)CD-SEM轮廓测量
作者:
Yoshikawa Makoto
;
Bunday Benjamin
;
Longhai Liu
;
Ito Wataru
;
Shida Soichi
;
Matsumoto Jun
;
Nakamura Takayuki
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
80.
Numerical analysis on the liquid cooling of microchannel heatsink with phase change material
机译:
相变材料微通道散热器水冷的数值分析
作者:
Han-Chieh Chiu
;
Ren-Horng Hsieh
;
Jhih-Teng Yao
;
Jer-Huan Jang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
81.
OCD measurement of defocus and dose in EUV lithography
机译:
在EUV光刻中使用OCD测量散焦和剂量
作者:
Chen Huiping
;
Gao Fu
;
Huang Kun
;
Zhang Zhensheng
;
Shi Yaoming
;
Xu Yiping
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
82.
Optimization of 28nm M1 trench etch profile and ILD loss uniformity
机译:
优化28nm M1沟槽蚀刻轮廓和ILD损耗均匀性
作者:
Hong-Rui Ren
;
Chen-Guang Gai
;
Jun Huang
;
Yu Zhang
;
Pang Albert
;
Li-Yan Zhang
;
Lei Sun
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
83.
Study on influence of integrating sphere test position on measuring accuracy of optical parameters of LED chip
机译:
积分球测试位置对LED芯片光学参数测量精度影响的研究
作者:
Chen Tengfei
;
Liu Qi
;
Li Bin
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
84.
Species selection of pre-amorphous implantation in Nickle Silicide process
机译:
硅化镍工艺中非晶态注入的物种选择
作者:
Qiu Yuming
;
Yu Deqin
;
Cao Wenjie
;
Xiao Tianjin
;
He Zhibin
;
Liu Wei
;
Jing Xubin
;
Fang Jingxun
;
Albert Pang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
85.
The new methodology of contact process window vericification
机译:
接触过程窗口验证的新方法
作者:
Yi-Lung Fang
;
Siao-Ling Li
;
Hsiang-Chou Liao
;
Tuung Luoh
;
Ling-Wu Yang
;
Yang Tahone
;
Kuang-Chao Chen
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
86.
Two-dimensional device simulation for radio frequency performance of AlGaN/GaN HEMT
机译:
AlGaN / GaN HEMT射频性能的二维器件仿真
作者:
Lin-Qing Zhang
;
Hong-Fan Huang
;
Xiao-Yong Liu
;
Jin-Shan Shi
;
Zhuo Liu
;
Sheng-Xun Zhao
;
Peng-Fei Wang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
87.
Photolithography solutions for fabrication of Fin and Poly-gate in 14nm FinFET devices
机译:
用于在14nm FinFET器件中制造Fin和多晶硅栅的光刻解决方案
作者:
Xiaobo Guo
;
Xianguo Dong
;
Shuxin Yao
;
Zhifeng Gan
;
Wuping Wang
;
Zhengkai Yang
;
Ermin Chong
;
Quanbo Li
;
Zhibiao Mao
;
Liang Zhang
;
Runling Li
;
Yu Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
88.
Piezoelectric properties of ZnO / BN multilayer structures at the nanometer scale
机译:
纳米级ZnO / BN多层结构的压电性能。
作者:
Cao Rongrong
;
Fang Huayong
;
Wang Fang
;
Fu Bangran
;
Feng Yulin
;
Zhang Kailiang
;
Yang Baohe
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
89.
Plasma diagnostics of resonance magnetic field effects on a-Si:H thin films deposition using electron cyclotron resonance plasma
机译:
电子回旋共振等离子体对共振磁场对a-Si:H薄膜沉积的等离子体诊断
作者:
Hu L.C.
;
Lin Y.W.
;
Wang C.J.
;
Wei T.C.
;
Yang C.R.
;
Lee C.C.
;
Chang J.Y.
;
Chen I.C.
;
Li Tomi T.
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
90.
PMMA removal selectivity to PS using dry etch approach for sub-10nm node applications
机译:
对于低于10nm的节点应用,使用干法刻蚀法去除PMMA对PS的选择性
作者:
Sarrazin Aurelien
;
Pimenta-Barros Patricia
;
Posseme Nicolas
;
Barnola Sebastien
;
Gharbi Ahmed
;
Argoud Maxime
;
Tiron Raluca
;
Cardinaud Christophe
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
91.
Process loading reduction on SADP FinFET etch
机译:
减少SADP FinFET蚀刻的工艺负载
作者:
Yan Wang
;
Fangyuan Xiao
;
Dongjiang Wang
;
Qiuhua Han
;
Haiyang Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
92.
Pyramid size control and its effects on the performance of silicon heterojunction solar cells
机译:
金字塔尺寸控制及其对硅异质结太阳能电池性能的影响
作者:
Xiaorang Tian
;
Qi Wang
;
Hongtao Hou
;
Guangyu Chen
;
Guanchao Zhao
;
Rong Yang
;
Liwei Li
;
Yuan Meng
;
Guo Ted
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
93.
Real time endpoint detection in plasma etching using Real-Time Decision Making Algorithm
机译:
使用实时决策算法的等离子体蚀刻中的实时终点检测
作者:
Ho-Taek Noh
;
Dong-Il Kim
;
Seung-Soo Han
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
94.
Reciprocating surface grinding of semiconductor wafers: A kinematic model for grinding marks pattern
机译:
半导体晶片的往复表面研磨:研磨标记和图案的运动学模型
作者:
Qi Zhang
;
Zhichao Li
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
95.
Reliability degradation impact by ultra low-k dielectrics and improvement study for BEOL process beyond 28nm technology
机译:
超低k电介质对可靠性退化的影响以及对BEOL工艺超过28nm技术的改进研究
作者:
Fanfei Bai
;
Xinghua Song
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
关键词:
BEOL process;
Reliability degradation;
reliability improvement;
ultra-low K film damage;
96.
Research of silicon cap for epitaxy sige in source/drain regions
机译:
源/漏区外延生长硅盖的研究
作者:
Jianqin Gao
;
Jun Tan
;
Haifeng Zhou
;
Jingxun Fang
;
Pang Albert
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
97.
RF solution with pingpong test mode
机译:
带有乒乓测试模式的射频解决方案
作者:
Dong Lexlin
;
Shao Jason
;
Huang Nina
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
98.
Sample preparation and improvement for Die Pull test
机译:
样品制备和拉模测试的改进
作者:
Xiali Chen
;
Chien Wei-ting Kary
;
Bo Cheng
;
Guan Zhang
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
99.
Schottky-barrier modulated HfO
2
-resistive switching memory with ultra-low power
机译:
具有超低功耗的肖特基势垒调制HfO
2 inf>电阻型开关存储器
作者:
Jian Xiaochuan
;
Zhang Kailiang
;
Wang Fang
;
Han Yemei
;
Zhao Jinshi
;
Wang Baolin
;
Sun Kuo
;
Zhang Hongzhi
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
100.
Silver alloy wire for IC packaging solution
机译:
用于IC封装解决方案的银合金线
作者:
Tan Boo Wei
;
Niu You Hua
;
Wu Kang Sheng
会议名称:
《China Semiconductor Technology International Conference》
|
2015年
意见反馈
回到顶部
回到首页