掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Reliability Physics Symposium
International Reliability Physics Symposium
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
198
条结果
1.
Development of thermal neutron SER-resilient high-k/metal gate technology
机译:
热中子SER弹性高k /金属门技术的发展
作者:
Park Jongwoo
;
Gunrae Kim
;
Ming Zhang
;
Kyungsik Park
;
Miji Lee
;
Ilgon Kim
;
Jongsun Bae
;
Sangwoo Pae
;
Jinwoo Choi
;
Dongsuk Shin
;
Nae-In Lee
;
Kee Sup Kim
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
W-contact;
diborane;
embedded SiGe;
resistance;
soft error rate;
thermal neutron;
2.
Systematic reliability characterizations on Average Output Voltage (AVO) shift of Display Driver IC by HTOL
机译:
HTOL显示驱动器IC的平均输出电压(AVO)偏移的系统可靠性表征
作者:
Jungdong Kim
;
Donghun Kim
;
Minhyeok Choe
;
Kidan Bae
;
Sangchul Shin
;
Sangwoo Pae
;
Park Jongwoo
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
AVO;
HTOL;
mDDI;
mismatch;
reliability qualification;
3.
Impact of VLSI scaling on die qualification
机译:
VLSI缩放比例对芯片鉴定的影响
作者:
Haggag Amr
;
Phillips Michael
;
Lee J.K.Jerry
会议名称:
《International Reliability Physics Symposium》
|
2014年
4.
Aluminum charge/dipole passivation induced by hydrogen diffusion in high-k metal gate
机译:
高k金属栅极中氢扩散引起的铝电荷/偶极钝化
作者:
Ribes G.
;
Barral V.
;
Chhun S.
;
Gros-Jean M.
;
Caubet P.
;
Petit D.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Aluminium;
High-K;
hydrogen;
metal gate;
5.
Mechanism exploration on Cu interconnect negative resistance shift during stress migration
机译:
应力迁移过程中铜互连负电阻偏移的机理探索
作者:
Xiangfu Zhao
;
Dulin Wang
;
Gan Howard
;
Zheng Kevin
;
Wu Jeff
;
Venson Chang
;
Wei-Ting Kary Chien
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Anneal;
Cu-based Interconnect;
Negative Resistance Shift;
Stress Migration;
6.
Set level at speed HTOL test for reliability qualification of high speed mobile applications
机译:
设置高速HTOL测试水平,以验证高速移动应用的可靠性
作者:
Park Jongwoo
;
Wooyeon Kim
;
Taeyong Lee
;
Donghee Lee
;
Jeongsik Lim
;
Jiheon Jeong
;
Yunhwan Kim
;
Lee Kyongtaek
;
Joungsu Ryu
;
Sang-Chul Shin
;
Hyunjo Shin
;
Sangwoo Pae
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
AP processor;
High-k;
Set level test;
Vmin;
at speed HTOL;
field failure ratel;
reliability qualification;
7.
On the distribution of stress-induced voiding failures under vias
机译:
应力引起的通孔失效失效的分布
作者:
Hall Gavin D.R.
;
Allman Derryl D.J.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Copper/low-k;
Extreme Value Theory;
Grain Boundary Triple Point (GBTP);
Grain Size;
High Temperature Stress (HTS);
Microstructure;
Poisson Point Process;
Power-law;
Self-Similar Scaling;
Stress Gradient;
Stress Induced Voiding (SIV);
Stress Migration (SM);
Three Parameter Weibull Distribution;
Void Growth;
Void Nucleation;
Weakest Link;
8.
Electromigration simulation at circuit levels
机译:
电路级的电迁移模拟
作者:
Tan Cher Ming
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Digital circuit;
RF circuit;
analog circuit;
circuit structure;
test structure;
waffle layout;
9.
IRT: A modeling system for single event upset analysis that captures charge sharing effects
机译:
IRT:一种用于单事件翻转分析的建模系统,可捕获电荷共享效应
作者:
Foley Kerryann
;
Seifert Norbert
;
Velamala Jyothi B.
;
Bennett William G.
;
Gupta Shashank
会议名称:
《International Reliability Physics Symposium》
|
2014年
10.
System-level modeling of microprocessor reliability degradation due to BTI and HCI
机译:
由于BTI和HCI而导致的微处理器可靠性下降的系统级建模
作者:
Chen Chang-Chih
;
Soonyoung Cha
;
Taizhi Liu
;
Milor Linda
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
SRAM;
aging;
cache;
hot carrier injection;
microprocessor;
modeling;
negative bias temperature instability;
positive bias temperature instability;
reliability;
timing analysis;
11.
Trap-related parametric shifts under DC bias and switched operation life stress in power AlGaN/GaN HEMTs
机译:
功率AlGaN / GaN HEMT中直流偏置和开关工作寿命应力下与陷阱相关的参数移位
作者:
Khalil S.G.
;
Ray L.
;
Chen M.
;
Chu R.
;
Zehnder D.
;
Garrido A.
;
Munsi M.
;
Kim S.
;
Hughes B.
;
Boutros K.
;
Kaplar R.J.
;
Dickerson J.
;
DasGupta S.
;
Atcitty S.
;
Marinella M.J.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
AlGaN/GaN;
Power HEMT;
field plate;
reliability;
trapping;
12.
New breakdown data generation and analytics methodology to address BEOL and mol dielectric TDDB process development and technology qualification challenges
机译:
新的故障数据生成和分析方法论,以应对BEOL和mol介电TDDB工艺开发和技术认证挑战
作者:
Chen Fen
;
Graas Carole
;
Shinosky Michael
;
Griffin Chuck
;
Dufresne Roger
;
Bolam Ronald
;
Christiansen Cathryn
;
Kai Zhao
;
Narasimha Shreesh
;
Tian Chunyan
;
Choon-Leong Lou
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
MOL;
PC-CA breakdown;
compound Poisson area scaling;
compound Weibull distribution;
data deconvolution;
global die-to-die variation;
local within chip variation;
low-k TDDB;
low-k reliability;
voltage acceleration;
13.
Fundamentals and future applications of Laser Voltage Probing
机译:
激光电压探测的基础知识和未来应用
作者:
Kindereit Ulrike
会议名称:
《International Reliability Physics Symposium》
|
2014年
14.
Adaptive Wearout Management with in-situ aging monitors
机译:
带有现场老化监测器的自适应磨损管理
作者:
Huard V.
;
Cacho F.
;
Giner F.
;
Saliva M.
;
Benhassain A.
;
Patel D.
;
Torres N.
;
Naudet S.
;
Jain A.
;
Parthasarathy C.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
DTCM;
adaptive regulation;
control loop;
in-situ monitors;
wearout;
15.
Trap Generation in IL and HK layers during BTI / TDDB stress in scaled HKMG N and P MOSFETs
机译:
在按比例缩放的HKMG N和P MOSFET的BTI / TDDB应力期间,IL和HK层中产生陷阱
作者:
Mukhopadhyay S.
;
Joshi K.
;
Chaudhary V.
;
Goel N.
;
De S.
;
Pandey R.K.
;
Murali K.V.R.M.
;
Mahapatra S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
DCIV;
DFT simulation;
IL Scaling;
NBTI;
Ov defects;
PBTI;
SILC;
UFM-MSM;
trap generation;
trapping;
16.
A comprehensive DC/AC model for ultra-fast NBTI in deep EOT scaled HKMG p-MOSFETs
机译:
用于深EOT规模HKMG p-MOSFET的超快速NBTI的全面DC / AC模型
作者:
Goel N.
;
Mukhopadhyay S.
;
Nanaware N.
;
De S.
;
Pandey R.K.
;
Murali K.V.R.M.
;
Mahapatra S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
DCIV measurement;
DFT simulation;
Flicker noise measurement;
HKMG;
NBTI;
Oxygen vacancy;
Reaction-Diffusion model;
Ultrafast-MSM;
hole trapping;
interface trap generation;
17.
Study on ESD protection design with stacked low-voltage devices for high-voltage applications
机译:
堆叠式低压设备用于高压应用的ESD保护设计研究
作者:
Dai Chia-Tsen
;
Ker Ming-Dou
会议名称:
《International Reliability Physics Symposium》
|
2014年
18.
Setting use conditions for reliability modeling
机译:
设置可靠性建模的使用条件
作者:
Kwasnick Robert
;
Polasam Praveen
;
Lucero Alan
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
knowledge based qualification;
product qualification;
reliability modeling;
use conditions;
19.
A fabless company#039;s perspective on large die Chip Package Interaction (CPI) challenges
机译:
一家无晶圆厂公司对大型芯片封装交互(CPI)挑战的看法
作者:
Chanda Kaushik
;
Mahadev Vadali
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
FLI reliability;
FPGA;
SLI reliability;
collaboration across supply chain and end users;
large die CPI reliability;
low-k dielectric scaling;
system use conditions;
20.
A novel analysis of oxide breakdown based on dynamic observation using ultra-high speed video capturing up to 10,000,000 frames per second
机译:
基于动态观察的氧化物击穿的新颖分析,使用超高速视频以每秒10,000,000帧的速度捕获
作者:
Kuroda Rihito
;
Shao Fan
;
Kimoto Daiki
;
Furukawa Kiichi
;
Sugo Hidetake
;
Takeda Tohru
;
Miyauchi Ken
;
Tochigi Yasuhisa
;
Teramoto Akinobu
;
Sugawa Shigetoshi
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
TDDB;
high speed video camera;
oxide breakdown;
21.
A physical and scalable aging model for digital library characterization
机译:
用于数字图书馆表征的物理且可扩展的老化模型
作者:
Kufluoglu Haldun
;
Cirba C.
;
Chu M.
;
Chen M.
;
Datla S.
;
Reddy V.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
HCI;
NBTI;
PBTI;
circuit aging;
compact model;
degradation;
digital library characterization;
22.
A single device based voltage step stress (VSS) technique for fast reliability screening
机译:
基于单个器件的电压阶跃应力(VSS)技术用于快速可靠性筛选
作者:
Ji Z.
;
Zhang J.F.
;
Zhang W.
;
Zhang X.
;
Kaczer B.
;
De Gendt S.
;
Groeseneken G.
;
Ren P.
;
Wang R.
;
Huang R.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bias tempeture instabilty;
Lifetime predcition;
Variabilty;
defect generation;
hole trapping;
23.
Defect density evaluation in a high-k MOSFET gate stack combining experimental and modeling methods
机译:
结合实验和建模方法的高k MOSFET栅极堆叠中的缺陷密度评估
作者:
Puglisi F.M.
;
Veksler D.
;
Matthews K.
;
Bersuker G.
;
Larcher L.
;
Padovani A.
;
Vandelli L.
;
Pavan P.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Charge Pumping;
Defects;
Fabrication flow;
HfOinf2/inf;
MFCP;
PBTI;
SILC;
SiOinf2/inf;
24.
A new efficient method for characterizing time constants of switching oxide traps
机译:
一种表征开关氧化物阱时间常数的新有效方法
作者:
Guo Shaofeng
;
Ren Pengpeng
;
Wang Runsheng
;
Yu Zhuoqing
;
Luo Mulong
;
Zhang Xing
;
Huang Ru
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
MC simulation;
MOSFETs;
characterizing method;
switching oxide traps;
time constants;
25.
Stress migration in a copper - Aluminum hybrid technology
机译:
铜-铝混合技术中的应力迁移
作者:
Christiansen Cathryn
;
Chapple-Sokol Jonathan
;
Coster Michael
;
Hunt Douglas
;
Lee Tom C.
;
Murphy William
;
Gambino Jeffrey
;
Cooney Edward
;
Kemerer Timothy
;
Rassel Richard
;
Stamper Tony
;
URen Gregory
;
Lariviere Stephane
;
Brandon Stephane
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
SIV;
SM;
aluminum;
copper;
stress induced voiding;
stress migration;
26.
Lifetime prediction for stress-induced voiding in nose-shape lines by using a stress-diffusion analytical model
机译:
应力扩散分析模型预测鼻子形线中应力诱发的空洞的寿命
作者:
Yokogawa Shinji
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
lifetime prediction;
nose-shape lines;
stress-diffusion analytical model;
stress-induced voiding;
27.
New insight in BEOL TDDB Cu diffusion mechanism: A constant current stress approach
机译:
BEOL TDDB铜扩散机制的新见解:恒定电流应力方法
作者:
Shen Tian
;
Hao Jiang
;
Zhang Wenyi
;
Cahyadi Tommy
;
Chua Eng Chye
;
Capasso Cristiano
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
CCS;
Cu diffusion;
low-#x03BA;
TDDB;
low-#x03BA;
reliability;
28.
Design of a low leakage ESD clamp for high voltage supply in 65nm CMOS technology
机译:
用于65nm CMOS技术的高压电源低泄漏ESD钳位器的设计
作者:
Parthasarathy Srivatsan
;
Salcedo Javier A.
;
Hajjar Jean-Jacques
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
65nm and ESD;
CDM;
SOC;
29.
A new gate pattern measurement for evaluating the BTI degradation in circuit conditions
机译:
一种新的栅极图案测量,用于评估电路条件下的BTI劣化
作者:
Subirats A.
;
Garros X.
;
Cluzel J.
;
El Husseini J.
;
Cacho F.
;
Federspiel X.
;
Huard V.
;
Rafik M.
;
Reimbold G.
;
Faynot O.
;
Ghibaudo G.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Circuit;
FDSOI;
MOSFETs;
NBTI;
Pattern Stress;
RC Model;
Reliability;
30.
Defect formation in III#x2013;V fin grown by aspect ratio trapping technique: A first-principles study
机译:
通过长宽比捕获技术生长的III–V鳍中的缺陷形成:一项第一性原理研究
作者:
Minari H.
;
Yoshida S.
;
Sawada K.
;
Nakazawa M.
;
Pourtois G.
;
Merckling C.
;
Waldron N.
;
Guo W.
;
Jiang S.
;
Collaert N.
;
Simoen E.
;
Lin D.
;
Caymax M.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
III#x2013;
V FinFET;
Mg/Zn doping;
ab initio study;
aspect ratio trapping;
defect in insulators;
selective epitaxial growth;
31.
Effect of I/O oxide process optimization on the nbti dependence of T
inv
scaling for a 20 nm bulk planar Replacement Gate process
机译:
I / O氧化物工艺优化对20 nm体平面置换门工艺T
inv inf>缩放比例的nbti依赖性的影响
作者:
Tian C.E.
;
La Rosa G.
;
Liu W.
;
Jin M.
;
Lai W.L.
;
Siddiqui S.
;
Guarin F.
;
Kothari H.
;
McMahon W.
;
Uppal S.
;
Linder B.
;
Narayanan V.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bias temperature instability (BTI);
High-k Replacement Metal Gate Transistor (RMG);
NBTI dependence on Eox;
technology qualification;
thermal anneal;
thick oxide process;
32.
Hot-carrier induced dielectric breakdown (HCIDB) challenges of a new high performance LDMOS generation
机译:
新一代高性能LDMOS的热载流子介电击穿(HCIDB)挑战
作者:
Schlunder Christian
;
Heinrigs Wolfgang
;
Landgraf Erhard
;
Aresu Stefano
;
Feick Henning
;
Rohner Michael
;
Gustin Wolfgang
;
Dahl Claus
会议名称:
《International Reliability Physics Symposium》
|
2014年
33.
A single-trap study of PBTI in SiON nMOS transistors: Similarities and differences to the NBTI/pMOS case
机译:
SiON nMOS晶体管中PBTI的单阱研究:与NBTI / pMOS情况的异同
作者:
Waltl Michael
;
Goes Wolfgang
;
Rott Karina
;
Reisinger Hans
;
Grasser Tibor
会议名称:
《International Reliability Physics Symposium》
|
2014年
34.
Toward a physical understanding of the reliability-limiting E
C
-0.57 eV trap in GaN HEMTs
机译:
物理理解GaN HEMT中限制可靠性的E
C inf> -0.57 eV陷阱
作者:
Sasikumar A.
;
Cardwell D.W.
;
Arehart A.R.
;
Lu J.
;
Kaun S.W.
;
Keller S.
;
Mishra U.K.
;
Speck J.S.
;
Pelz J.P.
;
Ringel S.A.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
HEMTs;
deep levels;
degradation;
reliability;
traps;
35.
Sensitivity analysis of a technique for the extraction of interface trap density in SiC MOSFETs from subthreshold characteristics
机译:
从亚阈值特征提取SiC MOSFET界面陷阱密度的技术的灵敏度分析
作者:
Hughart D.R.
;
Flicker J.D.
;
Atcitty S.
;
Marinella M.J.
;
Kaplar R.J.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
elevated temperature;
interface traps;
power electronics;
reliability;
silicon carbide;
subthreshold slope;
36.
A new method for extracting interface state and border trap densities in high-k/III-V MOSFETs
机译:
高k / III-V MOSFET中提取界面状态和边界陷阱密度的新方法
作者:
Sereni G.
;
Vandelli L.
;
Larcher L.
;
Morassi L.
;
Veksler D.
;
Bersuker G.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
CV characteristics;
III#x2013;
V semiconductors;
InGaAs;
border traps;
high-k;
interface traps;
37.
Estimation of instantaneous frequency fluctuation in a fast DVFS environment using an empirical BTI stress-relaxation model
机译:
使用经验性BTI应力松弛模型估算快速DVFS环境中的瞬时频率波动
作者:
Zhou Chen
;
Wang Xiaofei
;
Weichao Xu
;
Yuhao Zhu
;
Reddi Vijay Janapa
;
Kim Chris H.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
bias temperature instability;
dynamic voltage and frequency scaling;
frequency degradation;
superposition property;
38.
Maximizing reliable performance of advanced CMOS circuits#x2014;A case study
机译:
最大限度地提高先进CMOS电路的可靠性能-案例研究
作者:
Kaczer B.
;
Chen C.
;
Weckx P.
;
Roussel Ph.J.
;
Toledano-Luque M.
;
Franco J.
;
Cho M.
;
Watt J.
;
Chanda K.
;
Groeseneken G.
;
Grasser T.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bias Temperature Instability;
Gate Oxide Breakdown;
Hot Carrier Degradation;
circuits;
performance;
reliability;
serially connected nFET;
39.
A new spectral approach to modeling charge trapping/detrapping in NAND Flash memories
机译:
一种用于在NAND闪存中建模电荷陷阱/去陷阱的新频谱方法
作者:
Paolucci Giovanni M.
;
Monzio Compagnoni Christian
;
Miccoli Carmine
;
Bertuccio Massimo
;
Beltrami Silvia
;
Barber John
;
Kessenich Jeffrey
;
Lacaita Andrea L.
;
Spinelli Alessandro S.
;
Visconti Angelo
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Flash memories;
program/erase cycling;
semiconductor device modeling;
semiconductor device reliability;
40.
Towards the understanding of intrinsic degradation and breakdown mechanisms of a SiOCH low-k dielectric
机译:
理解SiOCH低k介质的固有降解和击穿机理
作者:
Wu C.
;
Li Y.
;
Barbarin Y.
;
Ciofi I.
;
Tang B.
;
Kauerauf T.
;
Croes K.
;
Bommels J.
;
De Wolf I.
;
Tokei Zs.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Lifetime model;
Low-k reliability;
Stress induced leakage current;
TDDB;
41.
Heavy ions test result on a 65nm Sparc-V8 radiation-hard microprocessor
机译:
65nm Sparc-V8防辐射微处理器上的重离子测试结果
作者:
Bottoni C.
;
Glorieux M.
;
Daveau J.M.
;
Gasiot G.
;
Abouzeid F.
;
Clerc S.
;
Naviner L.
;
Roche P.
会议名称:
《International Reliability Physics Symposium》
|
2014年
42.
Suitability of high-k gate oxides for III#x2013;V devices: A PBTI study in In
0.53
Ga
0.47
As devices with Al
2
O
3
机译:
高k栅极氧化物对III–V器件的适用性:PBTI研究In
0.53 inf> Ga
0.47 inf> As器件与Al
2 inf> O
3 inf>
作者:
Franco J.
;
Alian A.
;
Kaczer B.
;
Lin D.
;
Ivanov T.
;
Pourghaderi A.
;
Martens K.
;
Mols Y.
;
Zhou D.
;
Waldron N.
;
Sioncke S.
;
Kauerauf T.
;
Collaert N.
;
Thean A.
;
Heyns M.
;
Groeseneken G.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bias Temperature Instability;
III#x2013;
V;
InGaAs;
Quantum Well;
Reliability;
43.
Fast characterization of PBTI and NBTI induced frequency shifts under a realistic recovery bias using a ring oscillator based circuit
机译:
使用基于环形振荡器的电路在真实的恢复偏置下快速表征PBTI和NBTI引起的频移
作者:
Wang Xiaofei
;
Seung-hwan Song
;
Paul Ayan
;
Kim Chris H.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Aging;
high-k metal gate;
negative bias temperature instability (NBTI);
positive bias temperature instability (PBTI);
44.
A voltage base electrothermal model for the interconnection and E-Fuse under the DC and pulse stresses
机译:
直流和脉冲应力下互连和电子熔断器的电压基电热模型
作者:
Lee Jian-Hsing
;
Prabhu Manjunatha
;
Iyer Natarajan Mahadeva
;
Wu Cheng-Hsu
;
Chen-Hsin Lien
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
E-Fuse;
Electrothermal;
formatting;
45.
Is your silicon reliable? A system approach of silicon qualification methodology
机译:
您的芯片可靠吗?硅认证方法的系统方法
作者:
Yu Haiying
;
Curtis A.
;
Marathe A.
;
Master R.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
CM;
FCT;
ICT;
Qualification methodology;
customized silicon components;
image sensor;
quality;
reliability;
systems;
46.
A reliable method for the extraction of the lateral position of defects in ultra-scaled MOSFETs
机译:
一种提取超大规模MOSFET缺陷横向位置的可靠方法
作者:
Illarionov Yu.Yu.
;
Bina M.
;
Tyaginov S.E.
;
Rott K.
;
Reisinger H.
;
Kaczer B.
;
Grasser T.
会议名称:
《International Reliability Physics Symposium》
|
2014年
47.
A new methodology for copper/low-k dielectric reliability prediction
机译:
铜/低k介电可靠性预测的新方法
作者:
Lee Shou-Chung
;
Oates A.S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
48.
A unified perspective of RTN and BTI
机译:
RTN和BTI的统一视角
作者:
Grasser T.
;
Rott K.
;
Reisinger H.
;
Waltl M.
;
Franco J.
;
Kaczer B.
会议名称:
《International Reliability Physics Symposium》
|
2014年
49.
Electromigration analysis of full-chip integrated circuits with hydrostatic stress
机译:
具有静水压力的全芯片集成电路的电迁移分析
作者:
Gibson Patrick
;
Hogan Matthew
;
Sukharev Valeriy
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Blech effect;
EDA;
circuit design;
circuit verification;
electrical verification;
electromigration;
reliability;
short length;
50.
Double-sampling architectures
机译:
双采样架构
作者:
Nicolaidis Michael
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
aging sensors;
circuit-aging;
double-sampling;
fault tolerance;
guard-banding;
reliability;
soft-errors;
variability;
51.
Backside device physical analysis for yield and reliability of advanced bulk-Si CMOS ICs
机译:
背面器件物理分析,以提高先进的体硅CMOS IC的产量和可靠性
作者:
Li Yuanjing
;
Marks Howard Lee
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bulk silicon backside de-process;
EBAC;
FIB;
OPC end-result;
SEM;
e-fuse;
52.
Modeling of transient and static components of intrinsic emission from VLSI circuits
机译:
VLSI电路固有发射的瞬态和静态分量建模
作者:
Shehata Andrea Bahgat
;
Stellari Franco
;
Weger Alan
;
Song Peilin
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Failure Analysis;
Photon Emission Microscopy (PEM);
Superconducting nanowire Single-Photon Detector (SnSPD);
Time-Resolved Emission (TRE);
53.
Analyzing correlation between multiple traps in RTN characteristics
机译:
分析RTN特性中多个陷阱之间的相关性
作者:
Obara Toshiki
;
Teramoto Akinobu
;
Yonezawa Akihiro
;
Kuroda Rihito
;
Sugawa Shigetoshi
;
Ohmi Tadahiro
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Time-Lag-Plot;
multiple traps;
random telegraph noise;
transition path;
54.
Collaborative approach for practical modeling of microcircuit failures in high-reliability applications
机译:
在高可靠性应用中对微电路故障进行实用建模的协作方法
作者:
Sunderland David A.
;
Touw Anduin E.
;
Bechtold Lori E.
;
Moliere Florian
;
Redman David
;
Tawfellos Bahig
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Weibull distribution;
failure rate;
integrated circuits;
physics of failure;
random failure;
reliability;
statistical models;
wearout life;
55.
Novel area-efficient techniques for improving ESD performance of Drain extended transistors
机译:
新颖的区域有效技术,可改善漏极扩展晶体管的ESD性能
作者:
Appaswamy Aravind
;
Farbiz Farzan
;
Salman Akram
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
DEMOS;
EDMOS;
ESD;
LDMOS;
kirk effect;
self protection;
56.
Electromigration failure of circuit - like interconnects: Short length failure time distributions with active sinks and reservoirs
机译:
电路之类互连的电迁移故障:具有活动接收器和接收器的短时间故障时间分布
作者:
Oates A.S.
;
Lin M.H.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Cu;
electromigration;
reservoir;
short length;
sink;
57.
Interconnects exhibiting enhanced electromigration short-length effects by line width variation
机译:
通过线宽变化表现出增强的电迁移短长度效应的互连
作者:
Filippi R.G.
;
Wang P.-C.
;
Kim A.T.
;
Redder B.
;
Hu C.-K.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
copper metallization;
electromigration;
threshold effects;
58.
Time-dependent clustering model versus combination-based approach for BEOL/MOL and FEOL non-uniform dielectric breakdown: Similarities and disparities
机译:
基于时间的聚类模型与基于组合的BEOL / MOL和FEOL非均匀介质击穿的方法:异同
作者:
Wu Ernest Y.
;
Li Baozhen
;
Stathis James H.
;
LaRow Charles
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Clustering model;
Non-uniform dielectric breakdown;
Reliability;
TDDB;
59.
Activation of electrically silent defects in the high-k gate stacks
机译:
高k栅极堆叠中电静默缺陷的激活
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Charge Pumping;
Defects;
High-k gate stack;
PBTI;
SILC;
60.
Impact of ionic drift and vacancy defect passivation on TDDB statistics and lifetime enhancement of metal gate high-#x03BA; stacks
机译:
离子漂移和空位缺陷钝化对TDDB统计量和金属栅高κ电池堆寿命的影响
作者:
Raghavan Nagarajan
;
Pey Kin Leong
;
Frey Daniel D.
;
Bosman Michel
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Defect passivation;
Ionic drift;
Percolation;
Recovery;
Soft breakdown;
Thermochemical model;
61.
Influence of temperature distribution on behavior, modeling, and reliability of BAW resonators
机译:
温度分布对BAW谐振器性能,建模和可靠性的影响
作者:
Tag A.
;
Weigel R.
;
Hagelauer A.
;
Bader B.
;
Huck C.
;
Pitschi M.
;
Wagner K.
;
Karolewski D.
;
Schaffel C.
会议名称:
《International Reliability Physics Symposium》
|
2014年
62.
Breakdown mechanisms in MgO based magnetic tunnel junctions and correlation with low frequency noise
机译:
基于MgO的磁性隧道结的击穿机理及其与低频噪声的相关性
作者:
Amara-Dababi S.
;
Sousa R.C.
;
Bea H.
;
Baraduc C.
;
Mackay K.
;
Dieny B.
会议名称:
《International Reliability Physics Symposium》
|
2014年
63.
Bias temperature instability variation on SiON/Poly, HK/MG and trigate architectures
机译:
SiON / Poly,HK / MG和Trigate架构上的偏置温度不稳定性变化
作者:
Prasad C.
;
Agostinelli M.
;
Hicks J.
;
Ramey S.
;
Auth C.
;
Mistry K.
;
Natarajan S.
;
Packan P.
;
Post I.
;
Bodapati S.
;
Giles M.
;
Gupta S.
;
Mudanai S.
;
Kuhn K.
会议名称:
《International Reliability Physics Symposium》
|
2014年
64.
Role of buffer doping and pre-existing trap states in the current collapse and degradation of AlGaN/GaN HEMTs
机译:
缓冲掺杂和预先存在的陷阱状态在AlGaN / GaN HEMT的电流崩溃和退化中的作用
作者:
Meneghini Matteo
;
Rossetto Isabella
;
Bisi Davide
;
Stocco Antonio
;
Cester Andrea
;
Meneghesso Gaudenzio
;
Zanoni Enrico
;
Chini Alessandro
;
Pantellini Alessio
;
Lanzieri Claudio
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
GaN;
HEMT;
defect;
degradation;
reliability;
65.
TDDB at low voltages: An electrochemical perspective
机译:
低压下的TDDB:电化学角度
作者:
Muralidhar R.
;
Shaw T.
;
Chen F.
;
Oldiges P.
;
Edelstein D.
;
Cohen S.
;
Achanta R.
;
Bonilla G.
;
Bazant M.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Electrochemical modeling;
Low-field;
TDDB;
66.
Scaling of BTI reliability in presence of time-zero variability
机译:
存在零时变的情况下BTI可靠性的缩放
作者:
Kukner Halil
;
Weckx Pieter
;
Franco Jacopo
;
Toledano-Luque Maria
;
Cho Moonju
;
Kaczer Ben
;
Raghavan Praveen
;
Doyoung Jang
;
Miyaguchi Kenichi
;
Bardon Marie Garcia
;
Catthoor Francky
;
Van der Perre Liesbet
;
Lauwereins Rudy
;
Groeseneken Guido
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bias Temperature Instability;
FET;
FinFET;
aging;
channel material;
reliability;
scaling;
time-zero variability;
67.
Stochastic failure model for endurance degradation in vacancy modulated HfO
x
RRAM using the percolation cell framework
机译:
使用渗滤单元框架的空位调制HfO
x inf> RRAM中耐久力退化的随机失效模型
作者:
Raghavan Nagarajan
;
Pey Kin Leong
;
Frey Daniel D.
;
Bosman Michel
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Endurance;
Filament;
Oxygen vacancy;
Percolation;
Reset;
Thermochemical model;
68.
System-level estimation of threshold voltage degradation due to NBTI with I/O measurements
机译:
I / O测量的NBTI引起的阈值电压降级的系统级估计
作者:
Cha Soonyoung
;
Chen Chang-Chih
;
Milor Linda S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
69.
Utilizing device stacking for area efficient hardened SOI flip-flop designs
机译:
利用器件堆叠进行面积有效的硬化SOI触发器设计
作者:
Kauppila J.S.
;
Loveless T.D.
;
Quinn R.C.
;
Maharrey J.A.
;
Alles M.L.
;
McCurdy M.W.
;
Reed R.A.
;
Bhuva B.L.
;
Massengill L.W.
;
Lilja K.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
CMOS;
Hardened By Design;
SOI;
Soft Errors;
70.
DC / AC BTI variability of SRAM circuits simulated using a physics-based compact model
机译:
使用基于物理的紧凑模型模拟的SRAM电路的DC / AC BTI变异性
作者:
Naphade T.
;
Verma P.
;
Goel N.
;
Mahapatra S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
NBTI;
PBTI;
SRAM;
compact model;
read failure;
stochastic BTI;
trap generation;
trapping;
variability;
write failure;
71.
A reliability lab-on-chip using programmable arrays
机译:
使用可编程阵列的可靠性实验室芯片
作者:
Pfeifer Petr
;
Kaczer Ben
;
Pliva Zdenek
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Field Programmable Gate Array (FPGA);
aging;
in-situ methods;
reliability;
72.
Cross-layer system resilience at affordable power
机译:
负担得起的电源具有跨层系统的弹性
作者:
Gupta Meeta S.
;
Rivers Jude A.
;
Wang Liang
;
Bose Pradip
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
energy efficiency;
high performance;
system resilience;
73.
Universality of NBTI - From devices to circuits and products
机译:
NBTI的通用性-从设备到电路和产品
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Fmax degradation;
NBTI;
RO degradation;
SRAM Vmin shift;
trap generation;
variable BTI;
74.
Physical modeling of hot-carrier degradation for short- and long-channel MOSFETs
机译:
短通道和长通道MOSFET热载流子退化的物理模型
作者:
Tyaginov Stanislav
;
Bina Markus
;
Franco Jacopo
;
Osintsev Dmitri
;
Triebl Oliver
;
Kaczer Ben
;
Grasser Tibor
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
MOSFET;
deterministic Boltzmann transport equation solver;
hot-carrier degradation;
interface traps;
physics-based model;
75.
Effect of via arrangement on electromigration performance
机译:
通孔排列对电迁移性能的影响
作者:
Zhang Z.
;
Basavalingappa A.
;
Lloyd J.R.
;
Tan J.
;
Justison P.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Electromigration (EM);
Failure Criteria;
Via Redundancy;
76.
Self-heating effect in FinFETs and its impact on devices reliability characterization
机译:
FinFET中的自热效应及其对器件可靠性表征的影响
作者:
Liu S.E.
;
Wang J.S.
;
Lu Y.R.
;
Huang D.S.
;
Huang C.F.
;
Hsieh W.H.
;
Lee J.H.
;
Tsai Y.S.
;
Shih J.R.
;
Lee Y.-H.
;
Wu K.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Finfet;
reliability;
self-heating;
77.
Electrical breakdown in polymers for BEOL applications: Dielectric heating and humidity effects
机译:
用于BEOL应用的聚合物中的电击穿:介电加热和湿度影响
作者:
Palit Sambit
;
Alam Muhammad Ashraful
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Dielectric breakdown;
Dielectric films;
Humidity control;
Polymer films;
Reliability;
78.
Improving ESD robustness of stacked diodes with embedded SCR for RF applications in 65-nm CMOS
机译:
具有嵌入式SCR的堆叠二极管的ESD鲁棒性提高,可用于65 nm CMOS的RF应用
作者:
Lin Chun-Yu
;
Fan Mei-Lian
;
Ker Ming-Dou
;
Chu Li-Wei
;
Tseng Jen-Chou
;
Song Ming-Hsiang
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Diode;
electrostatic discharge (ESD);
radio-frequency (RF);
silicon-controlled rectifier (SCR);
79.
Evaluation of geometry layout and metal pattern to optimize ESD performance of silicon controlled rectifier (SCR)
机译:
评估几何布局和金属图案以优化可控硅(SCR)的ESD性能
作者:
Wang Zhixin
;
Liou Juin J.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
ESD;
SCR;
geometry;
metal;
pattern;
robustness;
80.
Lower trigger voltage design for ESD protection device applied in PMIC application
机译:
适用于PMIC应用的ESD保护器件的较低触发电压设计
作者:
He Yi-Ning
;
Chen Lu-An
;
Tang Tien-Hao
;
Su Kuan-Cheng
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
electrostatic discharge (ESD);
trigger voltage;
81.
Impact of body bias on soft error tolerance of bulk and Silicon on Thin BOX structure in 65-nm process
机译:
体偏置对65nm工艺中薄BOX结构的块体和硅的软错误容忍度的影响
作者:
Kuiyuan Zhang
;
Manzawa Yuuki
;
Kobayashi Kazutoshi
会议名称:
《International Reliability Physics Symposium》
|
2014年
82.
Bias dependence of muon-induced single event upsets in 28 nm static random access memories
机译:
μ介导的28 nm静态随机存取存储器中的介电诱导单事件心烦的偏倚
作者:
Sierawski Brian D.
;
Bhuva Bharat
;
Reed Robert
;
Tam Nelson
;
Narasimham Balaji
;
Ishida Katsuhiko
;
Hillier Adrian
;
Trinczek Michael
;
Blackmore Ewart
;
Wen Shi-Jie
;
Wong Richard
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
muons;
reliability;
single event upset;
static random access memories;
83.
Resilient and adaptive circuits for voltage, temperature, and reliability guardband reduction
机译:
弹性和自适应电路,可降低电压,温度和可靠性,降低保护带
作者:
Tokunaga Carlos
;
Ryan Joseph F.
;
Karnik Tanay
;
Tschanz James W.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
adaptive circuits;
error detection;
guardband reduction;
reliability;
resiliency;
variation-tolerant;
84.
Impact of Cu TSVs on BEOL metal and dielectric reliability
机译:
铜TSV对BEOL金属和介电可靠性的影响
作者:
Li Yunlong
;
Croes Kristof
;
Nabiollahi Nabi
;
Van Huylenbroeck Stefaan
;
Gonzalez Mario
;
Velenis Dimitrios
;
Bender Hugo
;
Jourdain Anne
;
Pantouvaki Marianna
;
Stucchi Michele
;
Vanstreels Kris
;
Van De Peer Myriam
;
De Messemaeker Joke
;
Wu Chen
;
Beyer Gerald
;
De Wolf Ingrid
;
Beyne Eric
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
BEOL reliability;
stress induced voiding (SIV);
through silicon vias (TSV);
time dependent dielectric breakdown (TDDB);
85.
Variability challenges to electromigration (EM) lifetime projections
机译:
电迁移(EM)寿命预测的可变性挑战
作者:
Li Baozhen
;
Christiansen Cathryn
;
Filippi Ronald
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Cu interconnect;
distribution;
electromigration;
grain size;
lifetime projection;
variability;
86.
On-chip aging compensation for output driver
机译:
片上老化补偿输出驱动器
作者:
Kumar Vinod
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Aging Compensation;
Aging Sensor;
CMOS;
HCI;
MOSFET;
NBTI;
Output Driver;
PBTI;
Reliability;
87.
Time resolved emission observation from top surface in avalanche breakdown of power MOSFET
机译:
功率MOSFET雪崩击穿时从顶表面的时间分辨发射观测
作者:
Endo K.
;
Norimatsu K.
;
Nakashima K.
;
Setoya T.
;
Nagamine S.
;
Nakamura T.
;
Koshikawa K.
;
Nakamae K.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
MOSFET;
Power Device;
Time Resolved Emission (TRE);
Unclamped Inductive Switching (UIS);
avalanche breakdown;
88.
Demonstrating individual leakage path from random telegraph signal of stress induced leakage current
机译:
从应力感应泄漏电流的随机电报信号中证明单个泄漏路径
作者:
Teramoto A.
;
Inatsuka T.
;
Obara T.
;
Akagawa N.
;
Kuroda R.
;
Sugawa S.
;
Ohmi T.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
electric stress;
localized path;
random telegraph signal;
stress induced leakage current;
tunnel oxide;
89.
Bit error rate analysis in Charge Trapping memories for SSD applications
机译:
用于SSD应用的电荷陷阱存储器中的误码率分析
作者:
Grossi Alessandro
;
Zambelli Cristian
;
Olivo Piero
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
BER;
CT-NAND flash;
MLC;
SLC;
SSD;
bit error rate;
multi level cell;
single level cell;
solid state drive;
90.
New observations on the random telegraph noise induced Vth variation in nano-scale MOSFETs
机译:
纳米尺度MOSFET中随机电报噪声引起的Vth变化的新观察
作者:
Liu Changze
;
Kyong Taek Lee
;
Hyunwoo Lee
;
Yoohwan Kim
;
Sangwoo Pae
;
Jongwoo Park
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
BTI aging;
dynamic variation;
guard band;
random telegraph noise (RTN);
91.
Reliability issues in GaN and SiC power devices
机译:
GaN和SiC功率器件的可靠性问题
作者:
Ueda Tetsuzo
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Current collapse;
Diode-integrated MOS (DioMOS);
Gallium Nitride (GaN);
Gate Injection Transistor (GIT);
Silicon Carbide (SiC);
92.
SiGe composition and thickness effects on NBTI in replacement metal gate / high-#x03BA; technologies
机译:
SiGe组成和厚度对替代金属栅极/高κ技术中NBTI的影响
作者:
Srinivasan P.
;
Fronheiser J.
;
Akarvardar K.
;
Kerber A.
;
Edge L.F.
;
Southwick R.G.
;
Cartier E.
;
Kothari H.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
NBTI;
SiGe;
band offsets;
high-#x03BA;
replacement gate;
93.
The impact of high V
th
drifts tail and real workloads on SRAM reliability
机译:
高V
inf>漂尾和实际工作负载对SRAM可靠性的影响
作者:
Angot D.
;
Huard V.
;
Quoirin M.
;
Federspiel X.
;
Haendler S.
;
Saliva M.
;
Bravaix A.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Bias Temperature Instability (BTI);
Static Random Access Memory (SRAM);
reliability;
variability;
workloads;
94.
Using thermal cycle and temperature / voltage testing to reduce the incidence of resistive / open reliability defects
机译:
使用热循环和温度/电压测试来减少电阻/开路可靠性缺陷的发生
作者:
Swift Ann
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
defect screening;
reliablity;
thermal cycle;
95.
Similarity and difference in temperature dependent recovery of HCS and NBTI
机译:
HCS和NBTI的温度依赖性恢复的相似性和差异
作者:
Yonamoto Y.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
HCS;
NBTI;
energy distribution;
recovery;
96.
Gate bias temperature stress-induced off-state leakage in nMOSFETs: Mechanism, lifetime model and circuit design consideration
机译:
nMOSFET中栅极偏置温度应力引起的关态泄漏:机理,寿命模型和电路设计考虑
作者:
Teng A.S.
;
Lai K.W.
;
Tu Ronnie
;
Lee M.Y.
;
Kuo Albert
;
Chao Y.H.
;
Lin C.W.
;
Liu K.W.
;
Tsai W.J.
;
Lu C.Y.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
Gate Bias-temperature-stress;
STI-SiN liner;
off-state leakage;
97.
The effects of biaxially-tensile strain to properties of Si/SiO
2
interface states generated by electrical stress
机译:
双轴拉伸应变对电应力产生的Si / SiO
2 inf>界面态性质的影响
作者:
Cai W.-L.
;
Takenaka M.
;
Takagi S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
charge neutrality level;
hetero-interface;
interface state;
strained Silicon;
98.
Reliability performance of different layouts of wide metal tracks
机译:
宽金属轨道的不同布局的可靠性能
作者:
Kludt Jorg
;
Weide-Zaage Kirsten
;
Ackermann Markus
;
Kovacs Christian
;
Hein Verena
会议名称:
《International Reliability Physics Symposium》
|
2014年
99.
Electromigration in strapped metal layers with large dimensions for lateral power device applications
机译:
用于横向功率器件应用的大尺寸带状金属层中的电迁移
作者:
Young-Joon Park
;
Joh Jungwoo
;
Kil-Soo Ko
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
electromigration;
lateral power device;
overhang effect;
strapped metal layer;
100.
Positive bias instability in gate-first and gate-last InGaAs channel n-MOSFETs
机译:
先栅和后栅InGaAs沟道n-MOSFET的正偏置不稳定性
作者:
Deora S.
;
Bersuker G.
;
Kim T.W.
;
Kim D.H.
;
Hobbs C.
;
Kirsch P.D.
;
Sahoo K.C.
;
Oates A.S.
会议名称:
《International Reliability Physics Symposium》
|
2014年
关键词:
BTI;
III#x2013;
V;
InGaAs;
Si;
上一页
1
2
下一页
意见反馈
回到顶部
回到首页