掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Symposium on Quality Electronic Design
International Symposium on Quality Electronic Design
召开年:
2013
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Hierarchical power network synthesis for multiple power domain designs
机译:
多个功率域设计的分层电网合成
作者:
Chieh-Jui Lee
;
Liu S.S.-Y.
;
Chuan-Chia Huang
;
Hung-Ming Chen
;
Chang-Tzu Lin
;
Chia-Hsin Lee
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
2.
A variation and energy aware ILP formulation for task scheduling in MPSoC
机译:
MPSOC任务调度的变体和能量意识到ILP制定
作者:
Ghorbani M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
3.
A design-for-test apparatus for measuring on-chip temperature with fine granularity
机译:
一种用于测量芯片温度的测试设备,具有细粒度
作者:
Tandon J.S.
;
Sasaki M.
;
Ikeda M.
;
Asada K.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
4.
A novel robust signaling scheme for high-speed low-power communication over long wires
机译:
用于长线高速低功耗通信的新型鲁棒信号方案
作者:
Dave M.
;
Baghini M.S.
;
Sharma D.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
5.
Statistical observations of NBTI-induced threshold voltage shifts on small channel-area devices
机译:
NBTI引起的阈值电压在小沟道区设备上的统计观察
作者:
Sato T.
;
Awano H.
;
Shimizu H.
;
Tsutsui H.
;
Ochi H.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
6.
NoC-based platform for embedded software design: An extension of the Hellfire Framework
机译:
基于NOC的嵌入式软件设计平台:Hellfire框架的扩展
作者:
Magalhaes F.G.
;
Longhi O.
;
Filho S.J.
;
Aguiar A.
;
Hessel F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
7.
Register binding and domain assignment for multi-domain clock skew scheduling-aware high-level synthesis
机译:
寄存器绑定和域分配用于多域时钟偏斜调度感知高级合成
作者:
Inoue K.
;
Kaneko M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
8.
Thermal via structural design in three-dimensional integrated circuits
机译:
通过三维集成电路的结构设计热量
作者:
Hwang L.
;
Lin K.L.
;
Wong M.D.F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
9.
Algorithmic study on the routing reliability problem
机译:
路由可靠性问题的算法研究
作者:
Qiang Ma
;
Zigang Xiao
;
Wong M.D.F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
10.
Low complexity cross parity codes for multiple and random bit error correction
机译:
低复杂性交叉奇偶校验码,用于多个和随机误码纠错
作者:
Poolakkaparambil M.
;
Mathew J.
;
Jabir A.M.
;
Mohanty S.P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
11.
Dynamically biased low power high performance 3.3V output buffer in a single well bulk CMOS 1.8V oxide 45nm process
机译:
在单孔批量CMOS 1.8V氧化物45nm过程中动态偏置低功率高性能3.3V输出缓冲器
作者:
Rajagopal K.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
12.
Functional composition: A new paradigm for performing logic synthesis
机译:
功能组合物:用于执行逻辑合成的新范式
作者:
Martins M.G.A.
;
Ribas R.P.
;
Reis A.I.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
13.
A preliminary study on system-level impact of persistent main memory
机译:
持久主记忆系统级别影响的初步研究
作者:
Perez T.
;
Calazans N.L.V.
;
De Rose C.A.F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
14.
A novel sample reuse methodology for fast statistical simulations with applications to manufacturing variability
机译:
一种新的样本重用方法,用于快速统计模拟,应用于制造变异性
作者:
Kanj R.
;
Joshi R.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
15.
Vertical Slit Field Effect Transistor in ultra-low power applications
机译:
超低功耗应用中的垂直狭窄场效应晶体管
作者:
Xiang Qiu
;
Marek-Sadowska M.
;
Maly W.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
16.
Transistor channel decomposition for structured analog layout, manufacturability and low-power applications
机译:
结构化模拟布局的晶体管通道分解,可制造性和低功耗应用
作者:
Qing Dong
;
Bo Yang
;
Gong Chen
;
Jing Li
;
Nakatake S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
17.
Impact of C-elements in asynchronous circuits
机译:
异步电路中C元素的影响
作者:
Moreira M.
;
Oliveira B.
;
Moraes F.
;
Calazans N.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
18.
An analytical approach to efficient circuit variability analysis in scaled CMOS design
机译:
缩放CMOS设计中有效电路变化分析的分析方法
作者:
Gummalla S.
;
Subramaniam A.R.
;
Cao Y.
;
Chakrabarti C.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
19.
Transaction-based post-silicon debug of many-core System-on-Chips
机译:
基于事务的许多硅胶调试许多核心系统 - 芯片
作者:
Gharehbaghi A.M.
;
Fujita M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
20.
Partitioning and dynamic mapping evaluation for energy consumption minimization on NoC-based MPSoC
机译:
基于NOC的MPSOC能耗最小化的分区和动态映射评估
作者:
Antunes E.
;
Soares M.
;
Aguiar A.
;
Johann F.S.
;
Sartori M.
;
Hessel F.
;
Marcon C.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
21.
Post-placement lithographic hotspot detection and removal in one-dimensional gridded designs
机译:
放置后光刻热点检测和拆卸一维网格设计
作者:
Wuu J.
;
Simmons M.
;
Marek-Sadowska M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
22.
Methodology for analysis of TSV stress induced transistor variation and circuit performance
机译:
用于分析TSV应力诱导晶体管变化和电路性能的方法
作者:
Li Yu
;
Wen-Yao Chang
;
Kewei Zuo
;
Wang J.
;
Yu D.
;
Boning D.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
23.
A top-down design methodology using virtual platforms for concept development
机译:
使用虚拟平台进行概念开发的自上而下的设计方法
作者:
Shah M.
;
Mears B.
;
Chakrabarti C.
;
Spanias A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
24.
DRC-free high density layout exploration with layout morphing and patterning quality assessment, with application to SRAM
机译:
无DRC的高密度布局探索,与布局变形和图案化质量评估,应用于SRAM
作者:
Singhee A.
;
Acar E.
;
Younus M.I.
;
Singh R.N.
;
Bansal A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
25.
Design and optimization of power gating for DVFS applications
机译:
DVFS应用的功率门控设计与优化
作者:
Tong Xu
;
Peng Li
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
26.
Process variation aware DRAM design using block based adaptive body biasing algorithm
机译:
使用基于块的自适应体偏置算法进行处理变化感知DRAM设计
作者:
Desai S.
;
Roy S.
;
Chakraborty K.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
27.
Design quality tradeoff studies for 3D ICs built with nano-scale TSVs and devices
机译:
用纳米尺寸TSV和设备构建的3D IC设计质量权衡研究
作者:
Kaiyuan Yang
;
Dae Hyun Kim
;
Sung Kyu Lim
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
28.
Monitoring and timing prediction in early analyzing and checking performance of interconnection networks at ESL
机译:
ESL互联网络互连网络的早期分析和检查性能监测和定时预测
作者:
Mao-Yin Wang
;
Jen-Chieh Yeh
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
29.
Interconnect analysis in spin-torque devices: Performance modeling, sptimal repeater insertion, and circuit-size limits
机译:
旋转扭矩装置中的互连分析:性能建模,空间中继器插入和电路尺寸限制
作者:
Rakheja S.
;
Naeemi A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
30.
Clock mesh framework
机译:
时钟网格框架
作者:
Chakrabarti P.
;
Bhatt V.
;
Hill D.
;
Aiqun Cao
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
31.
History Variation Trained Cache (HVT-Cache): A process variation aware and fine grain voltage scalable cache with active access history monitoring
机译:
历史和变化训练缓存(HVT-Cache):具有活动访问历史监控的过程变化感知和细晶粒电压可伸缩缓存
作者:
Sasan A.
;
Homayoun H.
;
Amiri K.
;
Eltawil A.
;
Kudahi F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
32.
DDRO: A novel performance monitoring methodology based on design-dependent ring oscillators
机译:
DDRO:基于设计依赖环形振荡器的新型性能监测方法
作者:
Tuck-Boon Chan
;
Gupta P.
;
Kahng A.B.
;
Liangzhen Lai
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
33.
Efficient leakage power saving by sleep depth controlling for Multi-mode Power Gating
机译:
高效泄漏功率通过睡眠深度控制多模功率门控
作者:
Takeda S.
;
Miwa S.
;
Usami K.
;
Nakamura H.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
34.
Design of an efficient NoC architecture using millimeter-wave wireless links
机译:
使用毫米波无线链路设计高效的NOC架构
作者:
Deb S.
;
Chang K.
;
Ganguly A.
;
Xinmin Yu
;
Teuscher C.
;
Pande P.
;
Deukhyoun Heo
;
Belzer B.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
35.
Embracing local variability to enable a robust high-gain positive-feedback amplifier: Design methodology and implementation
机译:
拥抱局部变化能够实现强大的高增益正反馈放大器:设计方法和实施
作者:
Ragab K.
;
Gharpurey R.
;
Orshansky M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
36.
Device and electromagnetic co-simulation of TSV: Substrate noise study and compact modeling of a TSV in a matrix
机译:
TSV的装置和电磁共同 - 矩阵中的基板噪声研究和TSV的紧凑型建模
作者:
Le Maitre P.
;
Brocard M.
;
Farcy A.
;
Marin J.-C.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
37.
The combined effect of process variations and power supply noise on clock skew and jitter
机译:
过程变化和电源噪声对时钟歪斜和抖动的综合影响
作者:
Hu Xu
;
Pavlidis V.F.
;
Burleson W.
;
De Micheli G.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
38.
Comparison between power gating and DVFS from the viewpoint of energy efficiency
机译:
从能效看功率门控与DVF的比较
作者:
Inoue A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
39.
Wearout-aware compiler-directed register assignment for embedded systems
机译:
嵌入式系统的磨损性编译器定向寄存器分配
作者:
Ahmed F.
;
Sabry M.M.
;
Atienza D.
;
Milor L.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
40.
Power-Performance Yield optimization for MPSoCs using MILP
机译:
使用MILP的MPSOC功率性能优化
作者:
Bhardwaj K.
;
Roy S.
;
Chakraborty K.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
41.
Design of low-power, scalable-throughput systems at near/sub threshold voltage
机译:
近/阈值电压的低功耗,可伸缩吞吐量系统的设计
作者:
Srivastav M.
;
Henry M.B.
;
Nazhandali L.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
42.
Understanding, modeling, and detecting pooling hotspots in copper CMP
机译:
铜CMP中的理解,建模和检测池热点
作者:
Gower-Hall A.
;
Gbondo-Tugbawa T.
;
JenPin Weng
;
Wei-tsu Tseng
;
Economikos L.
;
Yanagisawa T.
;
Bashaboina P.
;
Greco S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
43.
A body-voltage-sensing-based short pulse reading circuit for spin-torque transfer RAMs (STT-RAMs)
机译:
用于旋转扭矩传输RAM的基于主体电压感应的短脉冲读数电路(STT-RAM)
作者:
Fengbo Ren
;
Park H.
;
Dorrance R.
;
Toriyama Y.
;
Yang C.-K.K.
;
Markovic D.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
44.
Critical area driven dummy fill insertion to improve manufacturing yield
机译:
关键区域驱动假填充插入以提高制造产量
作者:
Dhumane N.
;
Kundu S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
45.
Speed-path analysis for multi-path failed latches with random variation
机译:
具有随机变化的多路径故障锁存器的速度路径分析
作者:
Ishida T.
;
Nitta I.
;
Homma K.
;
Kanazawa Y.
;
Komatsu H.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
46.
Cost-minimized double die DRAM packaging for ultra-high performance DDR3 and DDR4 multi-rank server DIMMs
机译:
用于超高性能DDR3和DDR4多级服务器DIMM的成本最小化双模DRAM封装
作者:
Crisp R.
;
Gervasi B.
;
Zohni W.
;
Haba B.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
47.
Improved path clustering for adaptive path-delay testing
机译:
改进自适应路径延迟测试的路径聚类
作者:
Tuck-Boon Chan
;
Kahng A.B.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
48.
Dynamic range estimation for systems with control-flow structures
机译:
控制流动结构系统的动态范围估计
作者:
Bin Wu
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
49.
Automated correction of design errors by edge redirection on High-Level Decision Diagrams
机译:
高级决策图中边缘重定向自动校正设计误差
作者:
Karputkin A.
;
Ubar R.
;
Tombak M.
;
Raik J.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
50.
Error mitigation in digital logic using a feedback equalization with schmitt trigger (FEST) circuit
机译:
使用施密特触发反馈均衡的数字逻辑中的错误缓解(FEST)电路
作者:
Takhirov Z.
;
Nazer B.
;
Joshi A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
51.
Functional test pattern generation for maximizing temperature in 3D IC chip stack
机译:
用于最大化温度的功能测试模式生成3D IC芯片堆栈中的温度
作者:
Srinivasan S.
;
Kundu S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
52.
Single fault reliability analysis in FPGA implemented circuits
机译:
FPGA实施电路中的单故障可靠性分析
作者:
Jahanirad H.
;
Mohammadi K.
;
Attarsharghi P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
53.
Test structure, circuits and extraction methods to determine the radius of infuence of STI and polysilicon pattern density
机译:
测试结构,电路和提取方法,以确定STI和多晶硅图案密度的影响半径
作者:
Chang A.H.
;
Kewei Zuo
;
Wang J.
;
Yu D.
;
Boning D.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
54.
An enhanced debug-aware network interface for Network-on-Chip
机译:
用于网络上的增强型调试感知网络接口
作者:
Neishaburi M.H.
;
Zilic Z.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
55.
An ultra-low voltage digitally controlled low-dropout regulator with digital background calibration
机译:
具有数字背景校准的超低电压数字控制的低压丢失稳压器
作者:
Yongtae Kim
;
Peng Li
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
56.
Analysis and evaluation of greedy thread swapping based dynamic power management for MPSoC platforms
机译:
基于MPSoC平台的贪婪螺纹交换的分析与评估
作者:
Ravishankar C.
;
Ananthanarayanan S.
;
Garg S.
;
Kennings A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
57.
Comparison of electrical, optical and plasmonic on-chip interconnects based on delay and energy considerations
机译:
基于延迟和能量考虑的电气,光学和等离子体片互连的比较
作者:
Rakheja S.
;
Kumar V.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
58.
Process mismatch analysis based on reduced-order models
机译:
基于阶数模型的过程不匹配分析
作者:
Yelten M.B.
;
Franzon P.D.
;
Steer M.B.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
59.
Optimization of importance sampling Monte Carlo using consecutive mean-shift method and its application to SRAM dynamic stability analysis
机译:
使用连续平均换档方法的重要性采样Monte Carlo的优化及其在SRAM动态稳定性分析中的应用
作者:
Kida T.
;
Tsukamoto Y.
;
Kihara Y.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
60.
Process-variation aware mapping of real-time streaming applications to MPSoCs for improved yield
机译:
处理 - 实时流式应用程序对MPsoc的变化意识映射,以提高产量
作者:
Mirzoyan D.
;
Akesson B.
;
Goossens K.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
61.
Assertion clustering for compacted test sequence generation
机译:
压缩测试序列生成的断言聚类
作者:
Tong J.G.
;
Bottle M.
;
Zilic Z.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
62.
Learning based DVFS for simultaneous temperature, performance and energy management
机译:
基于学习的DVFS同时温度,性能和能源管理
作者:
Hao Shen
;
Jun Lu
;
Qinru Qiu
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
63.
HiSIM-RP: A reverse-profiling based 1st principles compact MOSFET model and its application to variability analysis of 90nm and 40nm CMOS
机译:
HISIM-RP:基于反向分析的1
ST SUP>原理紧凑型MOSFET模型及其在90nm和40nm CMOS的可变性分析中的应用
作者:
Sakamoto H.
;
Kumashiro S.
;
Sato S.
;
Wakita N.
;
Mogami T.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
64.
A design tradeoff study with monolithic 3D integration
机译:
单片3D集成的设计权衡研究
作者:
Chang Liu
;
Sung Kyu Lim
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
65.
Device- and system-level performance modeling for graphene P-N junction logic
机译:
石墨烯P-N结逻辑的设备和系统级性能建模
作者:
Chenyun Pan
;
Naeemi A.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
66.
Robust metastability-based TRNG design in nanometer CMOS with sub-vdd pre-charge and hybrid self-calibration
机译:
具有子VDD预充电和混合自校准的纳米CMOS中基于鲁棒的亚运动的TRNG设计
作者:
Suresh V.B.
;
Burleson W.P.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
67.
On lithography aware metal-fill insertion
机译:
关于光刻意识的金属填充插入
作者:
Suresh V.B.
;
Kumar P.V.
;
Kundu S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
68.
Leakage-aware performance-driven TSV-planning based on network flow algorithm in 3D ICs
机译:
基于网络流量算法的3D ICS的泄漏感知性能驱动TSV规划
作者:
Kan Wang
;
Sheqin Dong
;
Yuchun Ma
;
Satoshi G.
;
Cong J.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
69.
Enhancing efficiency and robustness of a photovoltaic power system under partial shading
机译:
局部遮阳下的光伏电力系统的效率和鲁棒性
作者:
Yanzhi Wang
;
Xue Lin
;
Younghyun Kim
;
Naehyuck Chang
;
Pedram M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
70.
Hot peripheral thermal management to mitigate cache temperature variation
机译:
热外围热管理缓解缓存温度变化
作者:
Homayoun H.
;
Rahmatian M.
;
Kontorinis V.
;
Golshan S.
;
Tullsen D.M.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
71.
Theory of redundancy for logic circuits to maximize yield/area
机译:
逻辑电路冗余理论,以最大化产量/区域
作者:
Mirza-Aghatabar M.
;
Breuer M.A.
;
Gupta S.K.
;
Nazarian S.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
72.
VAR-TX: A variability-aware SRAM model for predicting the optimum architecture to achieve minimum access-time for yield enhancement in nano-scaled CMOS
机译:
var-tx:用于预测最佳架构的可变性感知SRAM模型,以实现纳米缩放CMOS中收益率增强的最小访问时间
作者:
Samandari-Rad J.
;
Guthaus M.
;
Hughey R.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
73.
Delay insensitive code-based timing and soft error-resilient and adaptive-performance logic
机译:
延时不敏感代码的时序和软错误弹性和自适应性能逻辑
作者:
Bao Liu
;
Xuemei Chen
;
Teshome F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
74.
Fast delay estimation with buffer insertion for through-silicon-via-based 3D interconnects
机译:
快速延迟估计与基于硅通孔的3D互连的缓冲插入
作者:
Young-Joon Lee
;
Sung Kyu Lim
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
75.
Thermal analysis of 3D integrated circuits based on discontinuous Galerkin finite element method
机译:
基于不连续Galerkin有限元法的3D集成电路热分析
作者:
Zjajo A.
;
van der Meijs N.
;
van Leuken R.
会议名称:
《International Symposium on Quality Electronic Design》
|
2012年
76.
New electrical design verification approach for 2.5D/3D package signal and power integrity
机译:
新型电气设计验证方法2.5D / 3D封装信号和功率完整性
作者:
Karim Nozad
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
77.
Advances in wire routing
机译:
电线路由进展
作者:
Wong Martin D.F.
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
78.
Low power sensor for temperature compensation in molecular biosensing
机译:
低功率传感器,用于分子生物传感的温度补偿
作者:
De Venuto Daniela
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
79.
A low power detection routing method for bufferless NoC
机译:
Byberless Noc的低功耗检测路由方法
作者:
Chung-Kai Hsu
;
Kun-Lin Tsai
;
Jing-Fu Jheng
;
Shanq-Jang Ruan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Deflection routing;
NoC;
bufferless router;
low power;
80.
Multi-objective optimization algorithm for efficient pin-constrained droplet routing technique in digital microfluidic biochip
机译:
数字微流体生物芯片有效针织液滴路由技术多目标优化算法
作者:
Chatterjee Soumyajit
;
Rahaman Hafizur
;
Samanta Tuhina
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Algorithm;
Digital Microfluidic Biochip;
Droplet Routing;
Multi Objective Optimization;
Pin Assignment;
81.
A CMOS high dimming ratio power-LED driver with a preloading inductor current method
机译:
具有预加载电感器电流方法的CMOS高调光比电源LED驱动器
作者:
Yoon Kwang S.
;
Lee Keon
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Automotive lighting;
LED driver;
Power-LED;
dimming ratio;
integtated circuit;
82.
A 64-core platform for biomedical signal processing
机译:
用于生物医学信号处理的64核平台
作者:
Bisasky Jordan
;
Homayoun Houman
;
Yazdani Farhang
;
Mohsenin Tinoosh
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
65 nm CMOS;
DSP;
biomedical signal processing;
many-core;
seizure detection;
ultrasound;
83.
Fast FPGA-based fault injection tool for embedded processors
机译:
基于FPGA的嵌入式处理器的故障注入工具
作者:
Shirazi Mohammad Shokrolah
;
Morris Brendan
;
Selvaraj Henry
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
FPGA;
Fault injection methods;
SEU faults;
84.
Design of a 6 Gbps continuous-time adaptive equalizer using a voltage rectifier instead of a power detector
机译:
使用电压整流器而不是功率检测器设计6 Gbps连续时间自适应均衡器
作者:
Srinivasan Krishna
;
Rosenfeld Jonathan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Adaptive equalizers;
power detector;
voltage rectifier;
85.
Configurable redundant via-aware standard cell design considering multi-via mechanism
机译:
考虑多通度机制,可配置冗余通知标准单元设计
作者:
Kan Tsang-Chi
;
Hung-Ming Hong
;
Ying-Jung Chen
;
Ruan Shanq-Jang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Design for manufacturability (DFM);
layout;
redundant via;
standard cell (SC);
86.
An efficient method for ECSM characterization of CMOS inverter in nanometer range technologies
机译:
纳米范围技术CMOS逆变器ECSM表征的高效方法
作者:
Kaur Baljit
;
Miryala Sandeep
;
Manhas S.K.
;
Anand Bulusu
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
CMOS inverter;
ECSM;
TCP;
load capacitance;
transition time;
87.
Analysis, modeling and silicon correlation of low-voltage flop data retention in 28nm process technology
机译:
28nm工艺技术中低压浮伏数据保留的分析,建模和硅相关性
作者:
Datta Animesh
;
Abu-Rahma Mohamed
;
Dasnurkar Sachin
;
Rasouli Hadi
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
88.
Minimizing simultaneous switching noise at reduced power with constant-voltage power transmission lines for high-speed signaling
机译:
以恒压电力传输线减小功率,最小化同时开关噪声,用于高速信令
作者:
Telikepalli Satyanarayana
;
Swaminathan Madhavan
;
Keezer David
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Power delivery network;
power transmission line;
simultaneous switching noise;
89.
Canonical ordering of instances to immunize the FPGA place and route flow from ECO-induced variance
机译:
实例的规范排序,以免疫FPGA地点和远程流动的路径流动
作者:
Dutta Avijit
;
Tuttle Neil
;
Anandh Krishnan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Delay Variance;
ECO;
Place and Route;
canonical ordering;
90.
Analysis and reliability test to improve the data retention performance of EPROM circuits
机译:
改进EPROM电路数据保留性能的分析和可靠性测试
作者:
Jiyuan Luan
;
DiVita Michael
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
EPROM;
Non volatile memory;
data retention lifetime;
91.
Runtime 3-D stacked cache management for chip-multiprocessors
机译:
芯片多处理器的运行时3-D堆叠缓存管理
作者:
Jung Jongpil
;
Kang Kyungsu
;
De Micheli Giovanni
;
Kyung Chong-Min
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
3-D IC;
cache partitioning;
chip-multiprocessor;
memory stacking;
runtime cache management;
92.
A comparator energy model considering shallow trench isolation stress by geometric programming
机译:
考虑浅沟渠隔离应力的比较器能量模型几何规划
作者:
Gong Chen
;
Zhang Yu
;
Bo Yang
;
Qing Dong
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
93.
Geostatistics inspired fast layout optimization of nanoscale CMOS phase locked loop
机译:
地质学习启发了纳米级CMOS锁相环的快速布局优化
作者:
Okobiah Oghenekarho
;
Mohanty Saraju P.
;
Kougianos Elias
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
94.
Analytical modeling and numerical simulations of temperature field in TSV-based 3D ICs
机译:
基于TSV的3D IC中温度场的分析建模与数值模拟
作者:
Shiyanovskii Yuriy
;
Papachristou Chris
;
Wu Cheng-Wen
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
95.
CPDI: Cross-power-domain interface circuit design in monolithic 3D technology
机译:
CPDI:单片3D技术的交叉电源域接口电路设计
作者:
Jing Xie
;
Du Yang
;
Yuan Xie
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
Flip-Flop;
Level Shifter;
Monolithic 3D;
Multi-Power Domain;
96.
Input-aware statistical timing analysis-based delay test pattern generation
机译:
基于输入感知统计时间分析的延迟测试模式生成
作者:
Liu Bao
;
Lu Wang
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
97.
Performance validation through implicit removal of infeasible paths of the behavioral description
机译:
通过隐式删除行为描述的不可行路径的性能验证
作者:
Jayaraman Dheepakkumaran
;
Tragoudas Spyros
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
关键词:
BDD;
code optimization;
timing analysis;
timing optimization;
98.
Cost-efficient scheduling in high-level synthesis for Soft-Error Vulnerability Mitigation
机译:
高级别合成的成本效益调度软误差漏洞缓解
作者:
Hara-Azumi Yuko
;
Tomiyama Hiroyuki
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
99.
Cost-driven 3D design optimization with metal layer reduction technique
机译:
具有金属层还原技术的成本驱动的3D设计优化
作者:
Qiaosha Zou
;
Jing Xie
;
Xie Yuan
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
100.
Diagnosis of small delay defects arising due to manufacturing imperfections using path delay measurements
机译:
由于使用路径延迟测量而产生的缺陷引起的小延迟缺陷的诊断
作者:
Somashekar Ahish Mysore
;
Tragoudas Spyros
会议名称:
《International Symposium on Quality Electronic Design》
|
2013年
意见反馈
回到顶部
回到首页