掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
e-Manufacturing & Design Collaboration Symposium
e-Manufacturing & Design Collaboration Symposium
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Dual type scheduling — Apply multi-direction neighborhood search algorithm
机译:
双型调度 - 应用多向邻域搜索算法
作者:
Chang Chien-Lin
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
2.
A study of optimal optical inspection condition regarding micro periodic structure
机译:
微周期结构最优光学检测条件的研究
作者:
Sekiya Harutaka
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
3.
Reduction of void defects on copper dual-damascene interconnect by integrated data-driven manufacturing
机译:
通过集成数据驱动制造减少铜双镶嵌互连的空隙缺陷
作者:
Tanaka Tomoya
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
4.
The study of FG formation for nano-scale NAND flash memory cells
机译:
纳米级NAND闪存单元FG形成的研究
作者:
Feng Marvin
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
5.
Automatically optimize PM control system for maximum productivity
机译:
自动优化PM控制系统以获得最大的生产率
作者:
Wang Wei-Hao
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
6.
Iron (Fe) removal from cyclohexanone thinner used in lithography process using nylon 6,6 membrane filtration
机译:
使用尼龙6,6膜过滤的光刻工艺中使用的环己酮稀释剂去除铁(Fe)
作者:
Umeda Toru
;
Nakajima Takao
;
Yukawa Hiroto
;
Tsuzuki Shuichi
;
Sumiya Masato
;
Numaguchi Toru
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
7.
A novel two-step poly CMP to improve dishing and erosion effect on self-aligned floating gate process
机译:
一种新型两步多CMP,提高对自对准浮栅工艺的凹陷和侵蚀效应
作者:
Yeh Chin-Tsan
;
Chen Chun Fu
;
Hung Yung Tai
;
Su Chin Ta
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
8.
Avoiding low flow conditions for filters used in wet cleaning processes
机译:
避免湿式清洁过程中使用的过滤器的低流量条件
作者:
Hashimoto Masatoshi
;
Tsuzuki Shuichi
;
Numaguchi Toru
;
Miyazaki Namio
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
9.
Study on lamp heating system for SiGe selective epitaxial growth fabrication process
机译:
SiGe选择性外延生长制造工艺灯加热系统研究
作者:
Chang Chih-Tien
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
10.
Framework for wafer level control APC model
机译:
晶圆级控制APC模型的框架
作者:
Onda Hiroomi
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
11.
Scratch reduction in oxide CMP process
机译:
氧化物CMP工艺划伤
作者:
Ohtsuka Yosuke
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
12.
Advanced low power product upgrading solution for mobile computing applications
机译:
用于移动计算应用的高级低功耗产品升级解决方案
作者:
Lu Chih-Hung
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
13.
Wafer start automation with TOC to enhance cycle time control
机译:
晶圆启动自动化TOC以增强循环时间控制
作者:
Yang Chih-Chung
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
14.
The unopened contact hole induced by Ammonium Chloride deposit after sidewall silicon nitride formation in high aspect ratio contact(HARC)
机译:
氯化铵沉积物在侧壁氮化硅形成后的氯化铵沉积物诱导的未开封接触孔(HARC)
作者:
Yuan Yin Te
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
15.
Design and development of an innovative micro-seismic spring wafer wagon and vibration improvement
机译:
设计与开发创新的微地震弹簧晶圆车和振动改进
作者:
Shyu Jefferson
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
16.
Analysis of 20-nm particles on Si surfaces by combination of intensified light-scattering and SEM/EDX
机译:
通过强化光散射和SEM / EDX组合分析Si表面上的20nm粒子
作者:
Fujihara Kaoru
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
17.
End point detection on the time series process data
机译:
终点检测时间序列过程数据
作者:
Kuramochi Nobuichi
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
18.
Smart sampling by tool base dispatching in 300mm FAB
机译:
通过工具基础调度300mm Fab的智能采样
作者:
Huang Tai-Siang
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
19.
Simulation analysis of relation between tool variability and cycle time
机译:
刀具变异性与循环时间的关系仿真分析
作者:
Okumura Hiroyuki
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
20.
High sensitivity focus failure monitoring by using CD-SEM
机译:
使用CD-SEM高灵敏度焦点故障监控
作者:
Yokota Kazuki
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
21.
Reducing line edge roughness using argon ion implantation
机译:
使用氩离子植入减少线边缘粗糙度
作者:
Wang Ssu-Ting
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
22.
Optimizing information value for supporting production decisions for semiconductor manufacturing
机译:
优化信息价值,以支持半导体制造的生产决策
作者:
Chien Cheng-Chung
;
Hsu Chia-Yu
;
Chiou Noah
;
Chien Chen-Fu
;
Hsin Wan-Min
;
Lee Ching-Yang
;
Chien Jason
;
Wu Alan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
23.
New method of particle management by high-sensitivity ISPM
机译:
高敏感性ISPM粒子管理的新方法
作者:
Matsui Hidefumi
;
Miyauchi K.
;
Nagaike H.
;
Moriya T.
;
Oshima C.
;
Hayamizu T.
;
Sakamori S.
;
Watanabe S.
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
24.
Vertical re-startup of plasma etching tool from earthquake
机译:
地震垂直重新启动等离子体蚀刻工具
作者:
Moriya T.
;
Matsui H.
;
Kaiga Y.
;
Tanaka Y.
;
Miyauchi K.
;
Hanawa T.
;
Fukaya K.
;
Suzuki Y.
;
Ando T.
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
25.
Method to achieve demand target in a complicated product mix manufacturing
机译:
在复杂产品混合制造中实现需求目标的方法
作者:
Fan Chiao-Han
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
26.
An analysis of the modeling accuracy of the GaAs MESFET models for telecommunication power amplifier design
机译:
电信功率放大器设计GaAs Mesfeet模型的建模精度分析
作者:
Chien Calvin
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
27.
Components of variance for photo StS WiS analysis
机译:
照片STS&WIS分析的差异组件
作者:
Wei Jui-Chen
;
Liu Pi-Ting
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
28.
A preliminary study of applying #x201C;design-chain operations reference-model#x201D; (DCOR) to collaborative substrate designs
机译:
将“设计链操作参考模型”(DCOR)应用于协作基板设计的初步研究
作者:
Wang Chi-Tai
;
Lin Grace
;
Hung Chin Pang
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
29.
Analysis of demand patterns on demand-pull replenishment application
机译:
需求拉补水申请需求模式分析
作者:
Liou Edwin D.
;
Wen Chia-Pin
;
Tsai Hsin-Feng
;
Shi Chi-Sheng
;
Hung Kun-Tung
;
Lei Yi-Chieh
;
Chang Yung-Chia
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
30.
FDC real-time monitor enhancement
机译:
FDC实时监控增强
作者:
Chu Jade
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
31.
Reduction of nuisance rate in inspection using review/inspector cycle optimization methodology
机译:
使用审查/检查员周期优化方法来减少检查中的滋扰率
作者:
Chen Chimin
;
Chuang Yen
;
Hung Che-Lun
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
32.
Improve run-to-run controllers using multivariate projection methods
机译:
使用多变量投影方法改进运行运行控制器
作者:
Byrne Tamara
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
33.
Effects of sample sizes in phases I and II on p control chart performance
机译:
样品尺寸在第I和II阶段的影响P控制图表性能
作者:
Chen Yi-Chun
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
34.
Semiconductor tool monitor by integrating defect signatures and in-line WIP
机译:
半导体刀具监控通过集成缺陷签名和在线WIP
作者:
Maheshwary Sonu
;
Ying Hai
;
Yong Poh-Boon
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
35.
Blind contact detection in the irregularly periphery area using leap scan e-beam inspection
机译:
使用LEAP&SCAN E-BEACH检查在不规则的外围区域中盲接触检测
作者:
Liao Hsiang-Chou
;
Hung Che-Lun
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
36.
Digitized service contents for process equipment engineering
机译:
用于过程和设备工程的数字化服务内容
作者:
Kobayashi Shigeru
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
37.
Run-to-run control utilizing the AVM system in the solar industry
机译:
利用太阳能行业的AVM系统运行控制
作者:
Lin Li-Ren
;
Chiu Yu-Chen
;
Mo Wei-Cheng
;
Kao Chi-An
;
Liu Tzu-Ming
;
Zeng Deng-Lin
;
Cheng Fan-Tien
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
38.
Photoresist strip effects on dopant distribution, activation and junction recess
机译:
对掺杂剂分布,激活和结凹部的光致抗蚀剂带材效应
作者:
Berry Ivan. L.
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
39.
In-line metrology monitoring through the diagnostic of EQ-to-EQ variations
机译:
通过诊断eq-to-eq变体的核心计量监测
作者:
Chan Ya-chuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
40.
3R on nickel silicidation: Reduction of CoO for Ni-Pt sputtering
机译:
3R镍硅化:用于溅射的COO的减少
作者:
Futase Takuya
;
Tanimoto Hisanori
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
41.
Multi-product control chart
机译:
多产品控制图表
作者:
Hsu Wei-Jen
;
Liu Pi-Ting
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
42.
A 3-D super computer architecture
机译:
3-D超级计算机架构
作者:
Cheng Karl
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
43.
Semiconductor manufacturing intelligence and key factor control mechanism for managing production cycle time
机译:
用于管理生产周期时间的半导体制造智能和关键因素控制机制
作者:
Chien Chen-Fu
;
Huang Hung-Ya
;
Chang Kuo-Hao
;
Lin Yu-Cheng
;
Liu Tien-Hsian
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
44.
Defect management with reticle-to-wafer correlations
机译:
具有掩模版到晶圆相关的缺陷管理
作者:
Hsu Wen-Hao
;
Gau Yeu-Dong
;
Tseng David
;
Yong Poh-Boon
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
45.
Etch process optimization and electrical improvement in TiN hard mask ultra-low k interconnection
机译:
蚀刻工艺优化和锡硬掩模超低K互连的电气改进
作者:
Chang Chih-Yang
;
Kang Sean
;
Kao C L
;
Bekiaris Nikos
;
Ching Chi
;
Pu Bryan
;
Lill Throsten
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
46.
1.6 people productivity improvement through prompt and precise MMHS
机译:
1.6%人们通过提示和精确MMHs生产力提高
作者:
Chen Y H
;
Wang C L
;
Huang C J
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
47.
Optimization and monitoring of bevel removal process
机译:
优化和监测斜面清除过程
作者:
Chen Yi-Chin
;
Hsu Hui-Ying
;
Hung Che-Lun
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
48.
Breakthrough for CW management and operation model in 200mm FAB by specialization technician
机译:
专业技术人员200mm Fab中CW管理与运营模型的突破
作者:
Chen Y H
;
Wang C L
;
Ho M Y
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
49.
Metal grain suppression and DOI capture rate improvement in 32 nm technology node
机译:
金属晶粒抑制和32个NM技术节点的捕获率改进
作者:
Li Hsiao-Leng
;
Hung Che-Lung
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
50.
Design intent utilization for lithography compliance check and layout refinement to improve manufacturability
机译:
设计意图利用光刻合规性检查和布局精制,以提高可制造性
作者:
Kobayashi Sachiko
;
Ikeuchi Atsuhiko
;
Kimura Kazunari
;
Kotani Toshiya
;
Tanaka Satoshi
;
Kyoh Suigen
;
Maeda Shimon
;
Inoue Soichi
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
51.
A quality framework #x201C;e-Supplier platform#x201D; to improve material quality and feedback for process control
机译:
质量框架“电子供应商平台”,以提高物料质量和反馈进行过程控制
作者:
Chen Jia-Huey
;
Hsu Tun-Kai
;
Leu Chien-Hui
;
Chang Wei-Fu
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
52.
Invited speech: The practical manufacturing focus on the N20 below
机译:
邀请言论:实际制造业专注于N20及以下
作者:
Tang Nail
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
53.
Invited Speech: BigData application for 28nm and below
机译:
邀请的演讲:Bigdata申请28nm及以下
作者:
Yu Michael
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
54.
Micro bridge technology, a better solution for 3D-IC interconnection
机译:
微桥技术,为3D-IC互连提供更好的解决方案
作者:
Cheng Karl
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
55.
Invited speech: Disruptive technologies for the future generation smart systems
机译:
邀请言论:未来一代智能系统的颠膜技术
作者:
Lemmens Peter
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
56.
Quantify equipment capacity impacts induced by maximum waiting time constraint through simulation
机译:
通过模拟量化最大等待时间约束引起的设备容量影响
作者:
Huang Wen-Yu
;
Ke Leo
;
Shen Tina
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
关键词:
Maximum Waiting Time;
Queuing time;
Simulation;
equipment capacity;
57.
A Rosebrock's diagonal dominance study on multiple resolution APC
机译:
玫瑰糕的对角占主导地位研究多个分辨率APC
作者:
Tsen Andy
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
58.
Tool matching statistical methodology application
机译:
工具匹配统计方法和应用
作者:
Huang Sarah
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2011年
59.
One methods to real-time control tool PM effect and predict WAT output
机译:
实时控制工具PM效果的一种方法,预测Wat输出
作者:
Chung Frank
;
Shih Lain Hua
;
Lin James
;
Hseih Thomson
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
60.
Modeling equipment functional behavior to track and match performance by fingerprinting
机译:
建模设备功能行为跟踪和匹配性能的指纹
作者:
Crispieri Gino
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
61.
MES system solution for PLAT recipe reduction
机译:
MES系统解决平台配方减少
作者:
Mai Karen
;
Chang Joseph
;
Huang Jeff
;
Jann Larry
;
Lo Justin
;
Lan J. K.
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
62.
Novel method of filling mixture gas for TFT LCD and LED MOCVD doping process
机译:
填充TFT LCD混合气的新方法,LED MOCVD掺杂工艺
作者:
Chiu Robin
;
Song Xuemei
;
Smith Stanley M
;
Shih Robert
;
Brown Lloyd
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
63.
Incorporating orthogonal multivariate methods to increase effectiveness
机译:
掺入正交多变量方法以提高效率
作者:
Byrne Tamara
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
64.
Efficient and waiting time violation-free furnace tool allocation via integration of sequencing constraints
机译:
高效和等待时间通过集成排序约束集成违规的熔炉工具分配
作者:
Kao Yu-Ting
;
Zhan Shun-Cheng
;
Chang Shi-Chung
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
65.
Yield impact evaluation of abnormal APC data
机译:
产量影响异常APC数据的评估
作者:
Chin-Hui Chen
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
66.
A key parameter analysis-and-selection system #x201C;eProcessKey#x201D;
机译:
一个关键参数分析和选择系统“EProcesskey”
作者:
Kao Chi-An
;
Cheng Chih-Hsuan
;
Cheng Fan-Tien
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
67.
The application of e beam inspection on 3D NAND flash
机译:
E波束检查对3D NAND闪光的应用
作者:
Liao Hsiang-Chou
;
Chen Yi-Chin
;
Lee Guan-Ru
;
Shih Yen-Hao
;
Lue Hang-Ting
;
Luoh Tuung
;
Yang Ling-Wu
;
Hsieh Kuang-Yeu
;
Yang Tahone
;
Chen Kuang-Chao
;
Liu Rich
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
68.
State estimation of missing data imputation
机译:
缺失数据估算的状态估计
作者:
Hui Keung
;
Mou Jason
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
69.
An application of d-JADE controller in thin film processes
机译:
D玉石控制器在薄膜工艺中的应用
作者:
Yang James
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
70.
Wireless airborne particle counting wafer revolutionizes contamination control, particle monitoring and predictive maintenance in the fab
机译:
无线空气粒子计数晶圆旋转污染控制,粒子监测和预测性维护
作者:
Chen Ferris
;
Jackson Allyn
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
71.
FOUP environment control and condense reduction
机译:
FOUP环境控制和浓缩
作者:
Kuo Yiting
;
Tsao Howard
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
72.
Green fab innovative and design of intelligent system concept implementation of energy efficient
机译:
绿色工厂智能系统概念实施节能的创新与设计
作者:
Chien C. C.
;
Chang C. N.
;
Shyu Jefferson
;
Huang J. M.
;
Tang B. S.
;
Zhu Liang-Kun
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
73.
Sensitivities improvement by utilizing dark mode of bright filed inspection
机译:
利用明田检验的暗模式改善了敏感性
作者:
Li Hsiao-Leng
;
Chung Yen
;
Hung Che-Lung
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chou
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
74.
Exploring EMWA charts to detect the quality shifts of raw materials
机译:
探索新闻地图表以检测原材料的质量换档
作者:
Chen Jia-Huey
;
Leu Chien-Hui
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
75.
Optics selection by high magnification optical micrograph in bright field inspection
机译:
高倍率光学显微照片在明田检验中选择光学选择
作者:
Chen Chi-Min
;
Chen Yi-Chin
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chao
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
76.
ArF resist CD shrinkage induced by defect inspection
机译:
缺陷检查诱导的ARF抵抗CD缩收
作者:
Kuo Tung-Chang
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
77.
Uptime Sustainability Indices
机译:
正常运行时间可持续性指数
作者:
Chee Leong Kok
;
Yeh Tham Chien
;
Chen Teoh Siok
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
78.
FDC coverage improvement infrastructure
机译:
FDC覆盖范围改进基础设施
作者:
Lin James
;
Shih Lain Hua
;
Cheng Marty
;
Hseih Thomson
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
79.
Dark field inspection technique on poly-silicon CMP process
机译:
多晶硅CMP工艺的暗场检验技术
作者:
Chuang Yen
;
Hung Che-Lung
;
Luoh Tuung
;
Yang Ling-Wu
;
Yang Tahone
;
Chen Kuang-Chou
;
Lu Chih-Yuan
会议名称:
《e-Manufacturing Design Collaboration Symposium》
|
2012年
意见反馈
回到顶部
回到首页