掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1
Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1
召开年:
2006
召开地:
San Jose,CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Koehler Illumination Analysis for High-resolution Optical Metrology using 193 nm Light
机译:
使用193 nm光的高分辨率光学计量的Koehler照明分析
作者:
Yeung-Joon Sohn
;
Richard M. Silver
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
kohler illumination;
koehler factor;
193 nm;
high-resolution optical metrology;
linewidth profile;
2.
Magnification calibration standard for sub - l00nm metrology
机译:
低于100nm计量的放大率校准标准。
作者:
Sachin Deo
;
David Joy
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
critical dimension (CD);
metrology;
line edge roughness (LER);
pitch;
scanning electron microscope (SEM);
accuracy;
precision;
traceability;
Hydrogen Silsesquioxane (HSQ);
3.
Resolution Enhancement Technique using Oxidation Process with Nitride Hardmask Process
机译:
使用氮化物硬掩模工艺的氧化工艺提高分辨率
作者:
Eunsoo Jeong
;
Jeahee Kim
;
Keeho Kim
;
Daeyoung Kim
;
Hyunju Lim
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
RET;
resolution;
enhancement;
oxide mask;
4.
Ellipsometric studies of the absorption of liquid by photo resist
机译:
光刻胶吸收液体的椭偏研究
作者:
Hee Jeong
;
Jaisun Kyung
;
Songyi Park
;
Kiyong Lee
;
Hyungjoo Lee
;
Hyuknyeong Cheon
;
Ilsin An
;
Sook Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
ellipsometry;
immersion lithography;
imaging ellipsometry;
5.
Effect and procedures of Post Exposure Bake temperature optimization on the CD uniformity in a mass production environment
机译:
量产环境中曝光后烘烤温度优化对CD均匀度的影响和程序
作者:
Kirsten Ruck
;
Heiko Weichert
;
Steffen Homig
;
Frank Finger
;
Goran Fleischer
;
Dave Hetzer
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD optimizer;
CD uniformity;
integrated metrology;
post exposure bake process;
6.
Scatterometry characterization of polysilicon gate profiles in a 90 nm logic process
机译:
90 nm逻辑工艺中多晶硅栅极轮廓的散射法表征
作者:
E.B. Maiken
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scatterometry;
OCD;
sensitivity;
electrical-test;
ellipsometry;
SEM;
7.
Phame~TM: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings
机译:
Phame〜TM:Carl Zeiss的新型相位计量工具,用于在扫描仪相关的光学设置下进行模内相位测量
作者:
Sascha Perlitz
;
Ute Buttgereit
;
Thomas Scheruebl
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
phame;
phase;
phase metrology;
scanner phase;
polarization;
off axis illumination;
mask;
mask inspection;
8.
Enhanced Hole Shape of Flash Devices in ArF Lithography by Eliptical Mask Bias Technique
机译:
椭圆掩模偏置技术增强了ArF光刻中Flash器件的孔形
作者:
Young-Doo Jeon
;
Sungho Jun
;
Jae-Hyun Kang
;
Sang-Uk Lee
;
Jeahee Kim
;
Keeho Kim
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
contact hole;
oval hole shape;
rule based optical proximity correction (OPC);
9.
3D anisotropic semiconductor grooves measurement simulations (scatterometry) using FDTD Methods
机译:
使用FDTD方法的3D各向异性半导体凹槽测量模拟(散射测量)
作者:
Hirokimi Shirasaki
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scatterometry;
anisotropy;
semiconductor;
silicon;
trench measurements;
FDTD method;
10.
OCD metrology by floating n/k
机译:
通过浮动n / k进行OCD计量
作者:
Shinn-Sheng Yu
;
Jacky Huang
;
Chih-Ming Ke
;
Tsai-Sheng Gau
;
Burn J. Lin
;
Anthony Yen
;
Lawrence Lane
;
Vi Vuong
;
Yan Chen
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
11.
High-Resolution In-Die Metrology Using Beam Profile Reflectometry and Ellipsometry
机译:
使用光束轮廓反射仪和椭偏仪的高分辨率模内计量
作者:
Chungsam Jun
;
Jangik Park
;
Jon Opsal
;
Heath Pois
;
In-Kyo Kim
;
Jung-Wook Kim
;
Lena Nicolaides
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD metrology;
scatterometry;
beam profile reflectometry;
spectroscopic ellipsometry;
neural networks;
12.
The study to enhance the mask global CD uniformity by removing local CD variation
机译:
通过消除局部CD变化来增强面罩全局CD均匀性的研究
作者:
Yongkyoo Choi
;
Munsik Kim
;
Oscar Han
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD;
global CD uniformity;
local CD variation;
polynomial fitting;
approximation;
13.
Achievement of sub nanometer reproducibility in line scale measurements with the Nanometer Comparator
机译:
使用纳米比较器在线规模测量中实现亚纳米重现性
作者:
Rainer Koening
;
Jens Fluegge
;
Harald Bosse
;
Physikalisch-Technische Bundesanstalt (PTB)
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
registration;
optical microscopy;
line scale interferometer;
vacuum interferometry;
14.
Optimization of Lithography Process to Improve Image Deformation of Contact Hole Sub - 90 nm Technology Node
机译:
优化平版印刷工艺以改善90nm以下接触孔的图像变形。
作者:
Sungho Jun
;
Juhyun Kim
;
Eunsoo Jeong
;
Youngje Yun
;
Jeahee Kim
;
Keeho Kim
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
contact hole;
contact edge roughness (CER);
arf lithography;
15.
The Novel Advanced Process Control to Eliminate AlCu-PVD Induced Overlay Shift
机译:
消除AlCu-PVD引起的叠加偏移的新型先进过程控制
作者:
CH Huang
;
CC Yang
;
Elvis Yang
;
TH Yang
;
KC Chen
;
Joseph Ku
;
CY Lu
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
WIS;
AlCu;
PVD;
Advanced Process Control (APC);
overlay mark;
16.
Influence of wafer warpage on photoresist film thickness and extinction coefficient measurements
机译:
晶圆翘曲对光致抗蚀剂膜厚度和消光系数测量的影响
作者:
Xiaodong Wu
;
Arthur Tay
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
lithography;
wafer warpage;
photoresist processing;
17.
Non-Contacting Electrostatic Voltmeter for Wafer Potential Monitoring
机译:
非接触式静电电压表,用于晶圆电位监测
作者:
Maciej A. Noras
;
William A. Maryniak
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
electrostatic voltmeter;
charge measurement;
18.
Enabling Gate Etch Process Development Using Scatterometry
机译:
使用散射测量法进行门刻蚀工艺开发
作者:
Jophy Koshy
;
Matthew Sendelbach
;
Pedro Herrera
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scatterometry;
cross-section;
XSEM;
etch development;
total measurement uncertainty;
TMU;
TMU analysis;
correlation;
gate etch;
19.
Purge Micro-Environment with Ionized Air to Reduce Chances of ESD Damages to Wafers
机译:
用电离空气吹扫微环境,以减少ESD损坏晶圆的可能性
作者:
Huaping Wang
;
Yingkai Liu
;
Mike Cisewski
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
ESD;
FOUP;
purge;
ionizer;
nitrogen;
wafer;
charge;
20.
Image quality improvement in inspection systems using double integrator illumination
机译:
使用双积分照明提高检查系统的图像质量
作者:
Akira Takada
;
Hitoshi Suzuki
;
Toru Tojo
;
Masato Shibuya
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
mask;
defect;
inspection;
defect detection sensitivity;
illumination optics;
integrator;
mask image;
21.
Implementation Strategies and Return on Investment for Integrated CD Control
机译:
集成CD控制的实施策略和投资回报
作者:
Lawrence Lane
;
Bob Monteverde
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
22.
New Inline AFM Metrology Tool Suited for LSI Manufacturing at the 45-nm Node and Beyond
机译:
适用于45纳米节点及以后的LSI制造的新型在线AFM计量工具
作者:
Manabu Edamura
;
Yuichi Kunitomo
;
Takafumi Morimoto
;
Satoshi Sekino
;
Toru Kurenuma
;
Yukio Kembo
;
Masahiro Watanabe
;
Shuichi Baba
;
Kishio Hidaka
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
AFM;
SPM;
inline metrology;
etching;
CMP;
lithography;
roughness;
carbon nanotube;
high aspect ratio;
microlens;
23.
Optical characterization of microstructures of high aspect ratio
机译:
高纵横比的微结构的光学表征
作者:
T. Tamulevicius
;
S. Tamulevicius
;
M. Andrulevicius
;
G. Janusas
;
V. Ostasevicius
;
A. Palevicius
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
diffraction gratings;
relative diffraction efficiency;
micro-fluidic device;
24.
Novel method of under-etch defect detection for contact layers based on Si substrate using optic wafer inspection tools
机译:
基于硅基板的硅晶片检测工具,用于接触层的欠蚀刻缺陷检测的新方法
作者:
Byoung-Ho Lee
;
Jin-Seo Choi
;
Soo-Bok Chin
;
Do-Hyun Cho
;
Chang-Lyong Song
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
under-etch defect;
optic wafer inspection;
e-beam wafer inspection;
25.
The Optimization of Photoresist Profile for sub-90nm Technology
机译:
90nm以下技术的光刻胶轮廓优化
作者:
Haengleem Jeon
;
Cheonman Shim
;
Jiho Hong
;
Jaewon Han
;
Keeho Kim
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
lithography;
arf photoresist;
soft bake;
post exposure bake;
PR profile;
26.
Contamination Removal from Collector Optics and Masks: An Essential Step for Next Generation Lithography
机译:
去除集光镜和口罩的污染物:下一代光刻的必要步骤
作者:
H. Shin
;
S. N. Srivastava
;
D. N. Ruzic
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
27.
Charging measurement using SEM embedded energy filter
机译:
使用SEM嵌入式能量滤波器进行充电测量
作者:
F. Levitov
;
A. Karabekov
;
G. Eytan
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
wafer charging;
local charging;
energy filter;
CD measurement;
28.
Accurate and Reliable Optical CD of MuGFET down to l0nm
机译:
精确可靠的MuGFET光学CD,低至10nm
作者:
P. Leray
;
G. F. Lorusso
;
S. Cheng
;
N. Collaert
;
M. Jurczak
;
S. Shirke
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scatterometry;
ellipsometer;
metrology;
MugGFET;
CDSEM;
29.
Advanced Defect Definition Methods using Design Data
机译:
使用设计数据的高级缺陷定义方法
作者:
Kyuhong Lim
;
Dilip Patel
;
Kyoungmo Yang
;
Shunsuke Koshihara
;
Lorena Page
;
Andy Self
;
Maurilio Martinez
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD-SEM;
design data;
two dimensional defect definition;
designgauge;
EPE measurement;
design-based metrology;
DBM;
30.
Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)
机译:
利用电子束对光致抗蚀剂晶片进行ADI(显影检查后)的研究(II)
作者:
Teruyuki Hayashi
;
Misako Saito
;
Kaoru Fujihara
;
Setsuko Shibuya
;
Y.Kudou
;
Hiroshi Nagaike
;
Joseph Lin
;
Jack Jau
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
inspection;
photo resist;
electron beam;
31.
Critical dimension measurements on phase-shift masks using an optical pattern placement metrology tool
机译:
使用光学图案放置计量工具在相移掩模上进行关键尺寸测量
作者:
Hermann Bittner
;
Dieter Adam
;
Jochen Bender
;
Artur Boesser
;
Michael Heiden
;
Klaus-Dieter Roeth
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD measurement;
mask pattern placement metrology;
phase-shift masks;
32.
Advances in CD-AFM Scan Algorithm Technology Enable Improved CD Metrology
机译:
CD-AFM扫描算法技术的进步实现了改进的CD计量
作者:
Lars Mininni
;
Johann Foucher
;
Pascal Faurie
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
AFM;
critical dimension;
metrology;
accuracy;
Bottom-CD;
LER;
LWR;
33.
Thermal imaging of a lithography cell, including exposure Tool, using self-contained instrumented wafer
机译:
使用独立的仪器晶圆对光刻单元(包括曝光工具)进行热成像
作者:
Zach Reid
;
Mark Wiltse
;
Sandy Burgan
;
Gregory Roche
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
lithography;
instrumented wafer;
thermal process;
resist dispense;
post application bake;
post exposure bake;
34.
Optimized Molecular Contamination Monitoring for Lithography
机译:
用于光刻的优化分子污染监测
作者:
D. Rodier
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
molecular contamination;
ion mobility;
IMS;
AMC;
ammonia;
NH3;
sulfur dioxide;
SO2;
35.
CD-bias evaluation and reduction in CD-SEM linewidth measurements
机译:
CD偏差评估和CD-SEM线宽测量结果的减少
作者:
Maki Tanaka
;
Chie Shishido
;
Wataru Nagatomo
;
Kenji Watanabe
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD-SEM;
shape-dependent measurement bias;
precision;
accuracy;
relative accuracy;
noise reduction;
LER compensation;
model-based library approach;
monte carlo simulation;
36.
Metrology of Replicated Diffractive Optics with Mueller Polarimetry in Conical Diffraction
机译:
锥形衍射中具有Mueller偏振的复制衍射光学的计量学
作者:
Tatiana Novikova
;
Antonello De Martino
;
Pavel Bulkin
;
Quang Nguyen
;
Bernard Drevillon
;
Vladimir Popov
;
Alexander Chumakov
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
polarimetry;
scatterometry;
diffraction;
grating metrology;
nanoimprinting;
37.
Angular Scatterometry for Line-Width Roughness Measurement
机译:
角散射法用于线宽粗糙度测量
作者:
Deh-Ming Shyu
;
Yi-Sha Ku
;
Nigel Smith
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
angular scatterometry;
line-width roughness;
critical dimension;
grating;
38.
An Approach to Modeling and On-line Identification for Piezoelectric Stack Actuator
机译:
压电堆驱动器建模与在线辨识的方法
作者:
Yueyu Wang
;
Xuezeng Zhao
;
Wei Chu
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
SPM;
piezoelectric stack actuator;
model;
hysteresis;
creep;
39.
Characterization and Adjustment of high performance objectives for DUV applications
机译:
DUV应用的高性能物镜的表征和调整
作者:
Stefan Mueller-Pfeiffer
;
Lienhard Koerner
;
Stefan Franz
;
Oliver Falkenstoerfer
;
Hans Lauth
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
40.
Aeral93i - Aerial Imaging Mask Inspection for Immersion Lithography
机译:
Aeral93i-浸没式光刻的航空成像掩模检查
作者:
Yoel Zabar
;
Chaim Braude
;
Shmoolik Mangan
;
Dan Rost
;
Raunak Mann
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
193nm lithography;
hyper-NA immersion;
masks inspection;
aerial imaging;
reticle defects;
printability;
41.
Novel CD-SEM calibration reference consisting of 100-nm pitch grating and positional identification mark
机译:
新型CD-SEM校准参考,包括100 nm间距光栅和位置识别标记
作者:
Yoshinori Nakayama
;
Hiroki Kawada
;
Shozo Yoneda
;
Takeshi Mizuno
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
grating reference;
CD-SEM;
EB cell projection lithography;
silicon dry etching;
100-nm pitch size;
addressing mark;
42.
Plasma-Assisted Cleaning by Electrostatics (PACE)
机译:
静电等离子体清洁(PACE)
作者:
W. M. Lytle
;
H. Shin
;
D. N. Ruzic
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
43.
Low Pressure Drop Filtration of Airborne Molecular Organic Contaminants Using Open-Channel Networks
机译:
使用开放通道网络对机载分子有机污染物进行低压降过滤
作者:
Andrew J. Dallas
;
Jon Joriman
;
Lefei Ding
;
Gerald Weineck
;
Kevin Seguin
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
chemical filtration;
airborne molecular contamination;
AMC;
contamination control;
low pressure drop;
open-channel networks;
monoliths;
VOC removal;
44.
Optimizing Surface Finishing Processes Through the Use of Novel Solvents and Systems
机译:
通过使用新型溶剂和系统来优化表面处理工艺
作者:
M. Quillen
;
P. Holbrook
;
J.Moore
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
surface finishing;
methyl acetate;
marangoni;
surface tension;
45.
New filter media development for effective control of trimethylsilanol (TMS) and related low molecular weight silicon containing organic species in the photobay ambient
机译:
新的过滤器介质开发,可有效控制光舱环境中的三甲基硅烷醇(TMS)和相关的低分子量含硅有机物
作者:
Anatoly Grayfer
;
Frank V.Belanger
;
Phillip Cate
;
David Ruede
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
trimethylsilanol (TMS);
low molecular weight silicon-containing compounds;
filtration;
contamination control;
filter testing;
chemical analysis of silicon-containing compounds;
46.
Characterization of resist thinning and profile changes using scatterometry
机译:
使用散射法表征抗蚀剂变薄和轮廓变化
作者:
Jennifer Fullam
;
Karen Petrillo
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scatterometry;
resist thinning;
immersion lithography;
47.
Scatterometry solutions and vision for advanced lithography process control
机译:
散射测量解决方案和视觉技术,用于高级光刻工艺控制
作者:
Levin Tatiana
;
Livne Michael
;
Gillespie
;
Robert M.
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
high volume manufacturing;
lithography CD control;
scatterometry;
integrated metrology;
48.
Advanced CD-AFM Probe Tip Shape Characterization for Metrology Accuracy and Throughput
机译:
先进的CD-AFM探针尖端形状表征,可实现计量精度和通量
作者:
Hao-Chih Liu
;
Jason R. Osbome
;
Marc Osborn
;
Gregory A. Dahlen
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
CD-AFM;
metrology;
characterizer;
tip shape;
carbon nanotube;
throughput;
49.
An Advanced AFM Sensor: Its Profile Accuracy and Low Probe Wear Property for High Aspect Ratio Patterns
机译:
先进的AFM传感器:高纵横比图案的轮廓精度和低探针磨损特性
作者:
Masahiro Watanabe
;
Shuichi Baba
;
Toshihiko Nakata
;
Tom Kurenuma
;
Yuichi Kunitomo
;
Manabu Edamura
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
AFM;
depth;
in-line;
profile;
high aspect ratio;
50.
A new SEM CD operator verified against Monte Carlo simulations
机译:
一位新的SEM CD操作员已针对Monte Carlo模拟进行了验证
作者:
C.G. Frase
;
D. Gnieser
;
K. Dirscherl
;
E. Buhr
;
H. Bosse
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scanning electron microscopy;
CD-SEM;
monte carlo;
CD operator;
edge detection;
51.
Monitoring Airborne Molecular Contamination: a Quantitative and Qualitative Comparison of Real-time and Grab-sampling Techniques
机译:
监测空气中的分子污染:实时和抓取采样技术的定量和定性比较
作者:
Aaron M. Shupp
;
Dan Rodier
;
Steven Rowley
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
ammonia;
sulfur dioxide;
airborne;
molecular;
contamination;
impinger;
sorbent tube;
real-time;
AMC;
IMS;
52.
Contrarian Approach to and Ultimate Solution for 193nm Reticle Haze
机译:
193nm光罩雾度的逆向方法和最终解决方案
作者:
Oleg Kishkovich
;
Anatoly Grayfer
;
Frank V. Belanger
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
53.
Leveraging LER to Minimize Linewidth Measurement Uncertainty in a Calibration Exercise
机译:
利用LER来最小化校准练习中的线宽测量不确定度
作者:
James Robert
;
Bill Banke
;
Ronald Dixson
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
linewidth;
calibration;
LER;
measurement uncertainty;
traceability;
metrology;
LWR;
CD-AFM;
SCCDRM;
54.
Contact leakage and open monitoring with an advanced e-beam inspection system
机译:
使用先进的电子束检查系统进行触点泄漏和断路监控
作者:
Shuen-chen Lei
;
Hermes Liu
;
Mingsheng Tsai
;
Hung-Chi Wu
;
Hong Xiao
;
Jack Jau
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
55.
Non-linear methods for overlay control
机译:
叠加控制的非线性方法
作者:
Michiel Kupers
;
Dongsub Choi
;
Boris Habets
;
Geert Simons
;
Erik Wallerbos
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
overlay;
non-linear;
residuals;
56.
Critical dimension: MEMS road map
机译:
关键尺寸:MEMS路线图
作者:
Marc Poulingue
;
Nanometrics France
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
critical dimension;
MEMS;
optical;
57.
Study on micro-bubble Defect induced by RRC Coating
机译:
RRC涂层引起的微泡缺陷研究
作者:
Yu-Huan Liu
;
Wen-Shiang Liao
;
Hsin-Hung Lin
;
Chin-Jung Chen
;
C C Huang
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
RRC coating;
defect;
photo resist;
58.
ArF pellicle degradation mechanism for resolving CD variation
机译:
ArF薄膜降解机制,用于解决CD变化
作者:
Hyungseok Choi
;
Yohan Ahn
;
Jua Ryu
;
Yangkoo Lee
;
Bumhyun An
;
Seokryeol Lee
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
arf lithography;
photomask;
CD variation;
transmittance;
pellicle degradation;
59.
Scatterometry measurement of nested lines, dual space, and rectangular contact CD on Phase-Shift Masks
机译:
相移掩膜上的嵌套线,双空间和矩形接触CD的散射测量
作者:
Kyung m Lee
;
Sanjay Yedur
;
Sven Henrichs
;
Malahat Tavassoli
;
Kiho Baik
会议名称:
《Conference on Metrology, Inspection, and Process Control for Microlithography XXI pt.1》
|
2007年
关键词:
scatterometry;
PSM;
CD metrology;
etching;
意见反馈
回到顶部
回到首页