掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
计算机、自动化
>
2013 22nd Asian Test Symposium
2013 22nd Asian Test Symposium
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
电脑编程技巧与维护
机器人
高性能计算技术
微处理机
数码先锋
自动化博览
家庭电子(维修版)
计算机科学与探索
控制理论与应用
传感技术学报
更多>>
相关外文期刊
Autonomous agents and multi-agent systems
Physical Communication
Information management & computer security
Computer standards & interfaces
Computer Review
Electronic commerce research and applications
EARSeL newsletter
International journal of image and data fusion
Computers & Security
International Journal of Robotics & Automation
更多>>
相关中文会议
2004年中国IBM用户大会
2005年全国工业控制计算机年会
第七次海峡两岸机械工程技术交流会
第八届中国多智能体系统与控制会议(MASC'2012)
第二届立体图像技术及其应用(国际)研讨会
第二届中国互联网学术年会
2001年全国理论计算机科学学术会议
第二届自动化与信息技术发展战略研讨会
中南六省(区)自动化学会第29届学术年会
中国计算机用户协会网络应用分会2008年网络新技术与应用研讨会
更多>>
相关外文会议
Fourth SEMAT Workshop on General Theory of Software Engineering
European Performance Engineering Workshop(EPEW 2007); 20070927-28; Berlin(DE)
2010 The 2nd IEEE International Conference on Information Management and Engineering (ICIME)
Rough sets and knowledge technology
Workshop on semantic parsing 2014
International Service Availability Symposium(ISAS 2007); 20070521-22; Durham,NH(US)
Proceedings of the Conference on High Performance Graphics 2009
Radio frequency identification and IoT security
International Conference on Entertainment Computing(ICEC 2005); 20050919-21; Sanda(JP)
International Conference on Medical Biometrics(ICMB 2008); 20080104-05; Hong Kong(CN)
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
共
54
条结果
1.
Exploration Methodology for 3D Memory Redundancy Architectures under Redundancy Constraints
机译:
冗余约束下的3D内存冗余架构探索方法
作者:
Lin Bing-Yang
;
Lee Mincent
;
Wu Cheng-Wen
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
3D RAM;
memory built-in self-repair (BISR);
memory testing;
redundancy analysis (RA);
redundancy repair;
yield improvement;
2.
Testable Design for Electrical Testing of Open Defects at Interconnects in 3D ICs
机译:
用于3D IC互连中的开放缺陷的电气测试的可测试设计
作者:
Hashizume Masaki
;
Konishi Tomoaki
;
Yotsuyanag Hiroyuki
;
Lu Shyue-Kung
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
3D IC;
Design for Testability;
Electrical Test;
Open Defect;
Through-Silicon Via;
3.
Test Generation of Path Delay Faults Induced by Defects in Power TSV
机译:
由功率TSV中的缺陷引起的路径延迟故障的测试生成
作者:
Shih Chi-Jih
;
Hsieh Shih-An
;
Lu Yi-Chang
;
Li James Chien-Mo
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
4.
Multicycle-aware At-speed Test Methodology
机译:
多周期感知的全速测试方法
作者:
Tsai Kun-Han
;
Lin Xijiang
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
At-speed test;
Synopsys Design Constraints (SDC);
automatic test pattern generation (ATPG);
false paths;
multicycle paths;
static timing analysis (STA);
timing constraints;
timing exceptions;
5.
Analog Sensor Based Testing of Phase-Locked Loop Dynamic Performance Parameters
机译:
基于模拟传感器的锁相环动态性能参数测试
作者:
Hsiao Sen-wen
;
Wang Xian
;
Chatterjee Abhijit
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
MARS;
PLL;
dynamic parameters;
integrator;
sensor;
testing;
6.
Design of a Fault-Injectable Fleischer-Laker Switched-Capacitor Biquad for Verifying the Static Linear Behavior Fault Model
机译:
验证静态线性行为故障模型的可注入故障的Fleischer-Laker开关电容器双二阶设计
作者:
Lin Long-Yi
;
Hong Hao-Chiao
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Analog fault model;
design for testability;
switched capacitor;
7.
Failure Localization of Logic Circuits Using Voltage Contrast Considering State of Transistors
机译:
考虑晶体管状态的电压对比逻辑电路的故障定位
作者:
Nikaido Masafumi
;
Funatsu Yukihisa
;
Seiyama Tetsuya
;
Nonaka Junpei
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
chain faults;
failure analysis;
scan-based diagnosis;
voltage contrast;
yield enhancement;
8.
A Transient Fault Tolerant Test Pattern Generator for On-line Built-in Self-Test
机译:
用于在线内置自测的瞬态容错测试模式生成器
作者:
Fukazawa Yuki
;
Iwagaki Tsuyoshi
;
Ichihara Hideyuki
;
Inoue Tomoo
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Fault tolerance;
cyclic code;
on-line BIST;
real-time application;
test pattern generators;
test-reliability;
9.
Leakage Monitoring Technique in Near-Threshold Systems with a Time-Based Bootstrapped Ring Oscillator
机译:
基于时间自举环形振荡器的近阈值系统的泄漏监测技术
作者:
Ho Yingchieh
;
Li Katherine Shu-Min
;
Wang Sying-Jyan
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
BIST;
Bootstrapped Ring Oscillator;
Near-threshold;
10.
A New LFSR Reseeding Scheme via Internal Response Feedback
机译:
通过内部响应反馈的新LFSR播种方案
作者:
Lien Wei-Cheng
;
Lee Kuen-Jong
;
Hsieh Tong-Yu
;
Chakrabarty Krishnendu
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
LFSR Reseeding;
Logic Built-In Self-Test;
11.
Scan Test Data Volume Reduction for SoC Designs in EDT Environment
机译:
减少EDT环境中SoC设计的扫描测试数据量
作者:
Li Guoliang
;
Qian Jun
;
Zuo Yuan
;
Li Rui
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Embedded Deterministic Test (EDT);
X-chain re-allocation;
X-cluster;
prediction based co-optimization;
test data volume reduction;
test schedule;
12.
Cost-Effective TAP-Controlled Serialized Compressed Scan Architecture for 3D Stacked ICs
机译:
具有成本效益的TAP控制的3D堆叠IC串行压缩扫描架构
作者:
Chen Chen-An
;
Chen Yee-Wen
;
Hsu Chun-Lung
;
Wu Ming-Hsueh
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
3D-SIC;
SCSA;
SCSG;
13.
Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM Testing
机译:
自适应源偏置可在SRAM测试期间改善电阻开路缺陷覆盖率
作者:
Vatajelu Elena I.
;
Dilillo L.
;
Bosio A.
;
Girard P.
会议名称:
《》
|
2013年
关键词:
6T SRAM Cell;
Adaptive Test;
Resistive-open Defects;
Source-Biasing;
14.
A New March Test for Process-Variation Induced Delay Faults in SRAMs
机译:
SRAM中过程变化引起的延迟故障的新March测试
作者:
Cheng Da
;
Hsiung Hsunwei
;
Liu Bin
;
Chen Jianing
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
15.
An Analysis of Stochastic Self-Calibration of TDC Using Two Ring Oscillators
机译:
使用两个环形振荡器的TDC随机自校准分析
作者:
Katoh Kentaroh
;
Doi Yuta
;
Ito Satoshi
;
Kobayashi Haruo
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
16.
Post-bond Testing of the Silicon Interposer and Micro-bumps in 2.5D ICs
机译:
2.5D IC中的硅中介层和微型凸块的键合后测试
作者:
Wang Ran
;
Chakrabarty Krishnendu
;
Eklow Bill
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
17.
Mid-bond Interposer Wire Test
机译:
中键插入线测试
作者:
Huang Li-Ren
;
Huang Shi-Yu
;
Tsai Kun-Han
;
Cheng Wu-Tung
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
2.5-D Stacked IC;
Design for Testability;
Interposer;
Length Normalization;
Mid-bond test;
Resistive Open Fault;
18.
Formulating Optimal Test Scheduling Problem with Dynamic Voltage and Frequency Scaling
机译:
用动态电压和频率缩放比例制定最佳测试计划问题
作者:
Millican Spencer K.
;
Saluja Kewal K.
会议名称:
《》
|
2013年
关键词:
DVFS;
SoC Test;
Test Scheduling;
19.
Hazard Initialized LOC Tests for TDF Undetectable CMOS Open Defects
机译:
针对TDF无法检测到的CMOS开放缺陷的危害初始LOC测试
作者:
Han Chao
;
Singh Adit D.
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
CMOS;
LOC;
TDF;
hazards;
stuck-open;
20.
Single Test Clock with Programmable Clock Enable Constraints for Multi-clock Domain SoC ATPG Testing
机译:
具有可编程时钟使能约束的单个测试时钟,用于多时钟域SoC ATPG测试
作者:
Ang Chin Hai
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
DFT;
clock domain;
clock gating;
virtual circuit;
21.
On the Generation of Compact Deterministic Test Sets for BIST Ready Designs
机译:
BIST就绪设计的紧凑确定性测试集的生成
作者:
Kumar Amit
;
Rajski Janusz
;
Reddy Sudhakar M.
;
Rinderknecht Thomas
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
BIST Ready Design;
Test Compression;
22.
A Cost-Effective Scheme for Network-on-Chip Router and Interconnect Testing
机译:
片上网络路由器和互连测试的经济有效方案
作者:
Xiang Dong
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
23.
Multi-histogram ADC BIST System for ADC Linearity Testing
机译:
用于ADC线性测试的多直方图ADC BIST系统
作者:
Chan Koay Soon
;
Nordin Nuzrul Fahmi
;
Chan Kim Chon
;
Lok Terk Zyou
会议名称:
《》
|
2013年
关键词:
ADC BIST;
ADC linearity test;
Built-in Self-test;
Histogram testing;
Triangle wave;
24.
Testing Disturbance Faults in Various NAND Flash Memories
机译:
测试各种NAND闪存中的干扰故障
作者:
Hou Chih-Sheng
;
Li Jin-Fu
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
NAND flash;
disturbance faults;
testing;
25.
An Efficient Method for the Test of Embedded Memory Cores during the Operational Phase
机译:
在运行阶段测试嵌入式内存核心的有效方法
作者:
Bernardi Paolo
;
Ciganda Lyl
;
Reorda Matteo Sonza
;
Hamdioui Said
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
SoC testing;
memory testing;
software BIST;
26.
Path Constraint Solving Based Test Generation for Hard-to-Reach States
机译:
基于路径约束求解的难以到达状态的测试生成
作者:
Zhou Yanhong
;
Wang Tiancheng
;
Lv Tao
;
Li Huawei
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
abstraction-guided simulation;
functional test generation;
hard-to-reach states;
path constraint solving;
27.
An Active Test Fixture Approach for 40 Gbps and Above At-Speed Testing Using a Standard ATE System
机译:
使用标准ATE系统进行40 Gbps及以上全速测试的有源测试夹具方法
作者:
Moreira Jose
;
Roth Bernhard
;
Werkmann Hubert
;
Klapproth Lars
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
High-Speed Digital;
40 Gbps;
28.
Time Domain Reconstruction of Incoherently Undersampled Periodic Waveforms Using Bandwidth Interleaving
机译:
基于带宽交织的非相干欠采样周期波形的时域重构
作者:
Bhatta Debesh
;
Tzou Nicholas
;
Hsiao Sen-wen
;
Chatterjee Abhijit
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
29.
An Efficient Test Methodology for Image Processing Applications Based on Error-Tolerance
机译:
基于容错的图像处理应用有效测试方法
作者:
Hsieh Tong-Yu
;
Peng Yi-Han
;
Ku Chia-Chi
会议名称:
《》
|
2013年
30.
Securing Access to Reconfigurable Scan Networks
机译:
确保对可重新配置的扫描网络的访问
作者:
Baranowski Rafal
;
Kochte Michael A.
;
Wunderlich Hans-Joachim
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
IEEE P1687;
IJTAG;
debug and diagnosis;
hardware security;
reconfigurable scan network;
secure DFT;
31.
A Die Selection and Matching Method with Two Stages for Yield Enhancement of 3-D Memories
机译:
具有两个阶段的3-D存储器良率提高的模具选择和匹配方法
作者:
Kang Wooheon
;
Lee Changwook
;
Cho Keewon
;
Kang Sungho
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
3-D integrated circuit (IC);
3-D random access memory (RAM);
Yield improvement;
memory repair;
through-silicon-via (TSV);
32.
A TSV Repair Scheme Using Enhanced Test Access Architecture for 3-D ICs
机译:
使用增强型测试访问架构的3-D IC的TSV修复方案
作者:
Yang Chi-Chun
;
Chou Che-Wei
;
Li Jin-Fu
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
3-D IC;
repair;
test;
through-silicon via;
33.
On Achieving Capture Power Safety in At-Speed Scan-Based Logic BIST
机译:
基于全速扫描逻辑BIST实现捕获电源安全性
作者:
Tomita A.
;
Wen X.
;
Sato Y.
;
Kajihara S.
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
34.
Thermal Aware Don't Care Filling to Reduce Peak Temperature and Thermal Variance during Testing
机译:
热意识不关心填充以降低测试过程中的峰值温度和热变化
作者:
Dutta Arpita
;
Kundu Subhadip
;
Chattopadhyay Santanu
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
CUT;
Don't care filling;
HotSpot;
criticality;
variance;
35.
Peak Capture Power Reduction for Compact Test Sets Using Opt-Justification-Fill
机译:
使用Opt-Justification-Fill降低紧凑型测试仪的峰值捕获功率
作者:
Eggersgluss Stephan
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
LSA;
SAT;
Test Power;
X-Filling;
36.
Worst-Case Critical-Path Delay Analysis Considering Power-Supply Noise
机译:
考虑电源噪声的最坏情况临界路径延迟分析
作者:
Bao Fang
;
Tehranippor Mohammad
;
Chen Harry
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
path-delay test;
power-supply noise;
transition-delay test;
worst-case;
37.
Built-In Test of Switched-Mode Power Converters: Avoiding DUT Damage Using Alternative Safe Measurements
机译:
开关式电源转换器的内置测试:使用替代的安全测量避免DUT损坏
作者:
Wang Xian
;
Kenfack Blanchard
;
Silva Estella
;
Chatterjee Abhijit
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Alternative testing;
DfT;
buck converter test;
38.
Handling Missing Syndromes in Board-Level Functional-Fault Diagnosis
机译:
在板级功能故障诊断中处理缺失的症状
作者:
Ye Fangming
;
Jin Shi
;
Zhang Zhaobo
;
Chakrabarty Krishnendu
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Board-level diagnosis;
functional failure;
label imputation;
machine learning;
missing syndrome;
39.
Diagnosing Resistive Open Faults Using Small Delay Fault Simulation
机译:
使用小延迟故障仿真诊断电阻性开路故障
作者:
Yamazaki Koji
;
Tsutsumi Toshiyuki
;
Takahashi Hiroshi
;
Higami Yoshinobu
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
fault diagnosis;
fault simulation;
resistive open faults;
small delay faults;
40.
Automotive EEPROM Qualification and Cost Optimization
机译:
汽车EEPROM鉴定和成本优化
作者:
Sarson Peter
;
Schatzberger Gregor
;
Seitz Robert
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Automotive;
EEPROM;
QA;
Qulaity;
Reliability;
41.
Back-End-of-Line Defect Analysis for Rnv8T Nonvolatile SRAM
机译:
Rnv8T非易失性SRAM的后端缺陷分析
作者:
Bai Bing-Chuan
;
Hsu Chun-Lung
;
Wu Ming-Hsueh
;
Chen Chen-An
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Nonvolatile SRAM;
Rnv8T;
defect analysis;
fault modeling;
42.
Digital Calibration for 8-Bit Delay Line ADC Using Harmonic Distortion Correction
机译:
使用谐波失真校正的8位延迟线ADC的数字校准
作者:
Lee Hsun-Cheng
;
Abraham Jacob A.
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Analog-to-digital converter (ADC);
calibration;
delay line ADC;
43.
Digital Compensation for Timing Mismatches in Interleaved ADCs
机译:
交错ADC中时序不匹配的数字补偿
作者:
Yi Ru
;
Wu Minghui
;
Asami Koji
;
Kobayashi Haruo
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATE System;
Cross-Correlation;
Digital Error Correction;
Interleaved ADCs;
Linear Phase Digital Filter;
Timing Skew;
44.
A Layout-Aware Test Methodology for Silicon Interposer in System-in-a-Package
机译:
封装系统中硅中介层的布局感知测试方法
作者:
Li Katherine Shu-Min
;
Ho Cheng-You
;
Gu Ruei-Ting
;
Wang Sying-Jyan
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
45.
Search Space Reduction for Low-Power Test Generation
机译:
减少搜索空间以产生低功耗测试
作者:
Miyase Kohei
;
Sauer Matthias
;
Becker Berund
;
Wen Xiaoqing
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
X-filling;
low-power testing;
test generation;
46.
MIRID: Mixed-Mode IR-Drop Induced Delay Simulator
机译:
MIRID:混合模式IR下降引起的延迟模拟器
作者:
Jiang J.
;
Aparicio M.
;
Comte M.
;
Azais F.
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
Digital CMOS IC;
IR-drop;
Power Noise;
Simulation;
Test;
47.
A Stochastic Model for NBTI-Induced LSI Degradation in Field
机译:
NBTI引起的LSI现场降解的随机模型
作者:
Sato Yasuo
;
Kajihara Seiji
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
NBTI;
degradation;
field;
test;
48.
Fault Scrambling Techniques for Yield Enhancement of Embedded Memories
机译:
故障加扰技术可提高嵌入式存储器的良率
作者:
Lu Shyue-Kung
;
Jheng Hao-Cheng
;
Hashizume Masaki
;
Huang Jiun-Lang
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
BISR;
ECC;
Embedded Memory;
Reliability;
Yield;
49.
Functional Test Generation at the RTL Using Swarm Intelligence and Bounded Model Checking
机译:
使用群体智能和边界模型检查在RTL上进行功能测试
作者:
Gent Kelson
;
Hsiao Michael S.
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ACO;
ATPG;
Ant Colony Optimization;
BMC;
Bounded Model Checking;
Functional Test;
RTL;
Swarm Intelligence;
50.
Accurate Multi-cycle ATPG in Presence of X-Values
机译:
存在X值的精确多周期ATPG
作者:
Erb Dominik
;
Kochte Michael A.
;
Sauer Matthias
;
Wunderlich Hans-Joachim
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
QBF;
Unknown values;
multi-cycle;
partial scan;
test generation;
51.
Interplay of Failure Rate, Performance, and Test Cost in TCAM under Process Variations
机译:
过程变化下TCAM的故障率,性能和测试成本之间的相互作用
作者:
Hsiung Hsunwei
;
Cheng Da
;
Liu Bin
;
Govindan Ramesh
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
52.
Critical Paths Selection and Test Cost Reduction Considering Process Variations
机译:
考虑工艺变化的关键路径选择和测试成本降低
作者:
Chen Jifeng
;
Tehranipoor Mohammad
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
ATPG;
PDF pattern;
Path-delay fault;
Silicon variation;
Test cost;
53.
A Region-Based Framework for Design Feature Identification of Systematic Process Variations
机译:
基于区域的系统过程变异设计特征识别框架
作者:
Hsu Shuo-You
;
Hsu Chih-Hsiang
;
Hsu Ting-Shou
;
Liou Jing-Jia
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
machine learning;
process modeling;
systematic variation;
54.
Enhanced Resolution Time-Domain Reflectometry for High Speed Channels: Characterizing Spatial Discontinuities with Non-ideal Stimulus
机译:
高速通道的增强分辨率时域反射仪:利用非理想刺激表征空间不连续性
作者:
Banerjee Suvadeep
;
Choi Hyun Woo
;
Keezer David C.
;
Chatterjee Abhijit
会议名称:
《2013 22nd Asian Test Symposium》
|
2013年
关键词:
TDR;
impedance discontinuity;
reflections;
上一页
1
下一页
意见反馈
回到顶部
回到首页