掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Interconnect Technology Conference
International Interconnect Technology Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Growth Behavior of Self-Formed Barrier Using Cu-Mn Alloys at 350 to 600oC
机译:
使用Cu-Mn合金在350至600℃下使用Cu-Mn合金的生长行为
作者:
Iijima J.
;
Haneda M.
;
Koike J.
会议名称:
《International Interconnect Technology Conference》
|
2006年
2.
Advanced Preclean for Integration of PECVD SiOCH (k=2.5) Dielectrics with Copper Metallization Beyond 45nm Technology
机译:
用于集成PECVD SiOCH(K = 2.5)电介质的先进预胶,铜金属化超过45nm技术
作者:
Fu X.
;
Forster J.
;
Yu J.
;
Gopalraja P.
;
Bhatnagar A.
;
Ahn S.
;
Demos A.
;
Ho P.
会议名称:
《International Interconnect Technology Conference》
|
2006年
3.
Reliability Improvement by Adopting Ti-barrier Metal B for Porous Low-k IL Structure
机译:
采用Ti阻隔金属B用于多孔低k IL结构的可靠性改进
作者:
Sakata A.
;
Yamashita S.
;
Omoto S.
;
Hatano M.
;
Wada J.
;
Higashi K.
;
Yamaguchi H.
;
Yosho T.
;
lmamizu K.
;
Yamada M.
;
Hasunuma M.
;
Takahashi S.
;
Yamada A.
;
Hasegawa T.
;
Kaneko H.
会议名称:
《International Interconnect Technology Conference》
|
2006年
4.
Metallization in Memory Device: Present Future
机译:
记忆设备中的金属化:现在和将来
作者:
Sang woo Lee
;
Gil Heyun Choi
;
Sung Tae Kim
;
U-In Chung
;
Joo Tae Moon
会议名称:
《International Interconnect Technology Conference》
|
2006年
5.
Physical, Electrical, and Reliability Characterization of Ru for Cu Interconnects
机译:
Cu互连Ru的物理,电气和可靠性表征
作者:
Yang C.-C.
;
Spooner T.
;
Ponoth S.
;
Chanda K.
;
Simon A.
;
Lavoie C.
;
Lane M.
;
Hu C.-K.
;
Liniger E.
;
Gignac L.
;
Shaw T.
;
Cohen S.
;
McFeely F.
;
Edelstein D.
会议名称:
《International Interconnect Technology Conference》
|
2006年
6.
The rise of the 3rd dimension for system intergration
机译:
第3维度的系统集成升高
作者:
Beyne E.
会议名称:
《International Interconnect Technology Conference》
|
2006年
7.
Performance and reliability of airgaps for advanced BEOL Interconnects
机译:
高级BEOL互连AirPaps的性能和可靠性
作者:
S. Nitta
;
D. Edelstein
;
S. Ponoth
;
L. Clevenger
;
X. Liu
;
T. Standaert
会议名称:
《International Interconnect Technology Conference》
|
2008年
8.
Planarity Considerations in SADP for Advanced BEOL Patterning
机译:
SADP为高级BEOL Patterning的Planarity考虑
作者:
James Hsueh-Chung Chen
;
Terry A. Spooner
;
Jason E. Stephens
;
Shao Beng Law
;
Genevieve Beique
;
Ben Kim
;
Martin OToole
;
Louis Lanzerotti
;
Steven Leibiger
;
E. Todd Ryan
;
Shreesh Narasimha
;
Craig Child
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
OPL;
function;
conformality;
9.
Modeling of Tone Inversion Process Flow for N5 Interconnect to Characterize Block Tip to Tip
机译:
N5互连的音调反转过程流量的建模,使块尖端特征到尖端
作者:
S. Guissi
;
W. F. Clark
;
A. Juncker
;
J. Ervin
;
K. Greiner
;
D. Fried
;
B. Briggs
;
K. Devriendt
;
F. Sebaai
;
A. Charley
;
C. J. Wilson
;
J. Boemmels
;
Z. T?kei IMEC
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
T2T;
Block CD variability;
Modeling;
SEMulator3D?;
N5;
Tone Inversion;
DOE;
Metal-cut;
10.
Low temperature atomic layer deposition of Ru thin films using a new carbonyl-based Ru precursor and non-oxidizing reactants; Applications to the seed layer for Cu metallization
机译:
使用新的羰基的Ru前体和非氧化反应物,Ru薄膜的低温原子层沉积;用于Cu金属化种子层的应用
作者:
Hyun-Jung Lee
;
Shunichi Nabeya
;
Tae EunHong
;
Ryosuke Harada
;
Soo-Hyun Kim
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
ruthenium;
atomic layer deposition;
non-oxidizing reactants;
11.
Microreplicated CMP Pad for RMG and MOL Metallization
机译:
用于RMG和MOL金属化的微单复制CMP垫
作者:
Wei-Tsu Tseng
;
Changhong Wu
;
James Hagan
;
Yanni Wang
;
Hong Lin
;
Ja-Hyung Han
;
Dinesh Koli
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
CMP;
microreplicated pad;
W RMG;
Co local interconnects;
topography;
12.
Ultra-thin ALD-MnN Barrier for Low Resistance Advanced Interconnect Technology
机译:
用于低电阻高级互连技术的超薄ALD-MNN屏障
作者:
Shin-Yi Yang
;
Ming-Han Lee
;
Ching-Fu Yeh
;
Shih-Kang Fu
;
Yu-Chen Chan
;
Shau-Lin Shue
;
Min Cao
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Atomic layer deposition;
barrier;
low resistance;
Cu interconnect;
13.
Tri-Layer Nanoindentation for Mechanical Characterization of Ultra-Low-K Dielectrics
机译:
超低速仪电介质机械表征的三层纳米压痕
作者:
Tingge Xu
;
Yingjie Du
;
Hongbing Lu
;
Xiao Hu Liu
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
ultra-low-k dielectric;
nanoindentation;
thin film;
tri-layer;
finite element method;
14.
Microstructure Modulation for Resistance Reduction in Copper Interconnects
机译:
铜互连阻力的微观结构调制
作者:
C.-C. Yang
;
T. Spooner
;
P. McLaughlin
;
C.K. Hu
;
H. Huang
;
Y. Mignot
;
M. Ali
;
G. Lian
;
R. Quon
;
T. Standaert
;
D. Edelstein
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Copper;
grain size;
resistivity;
electromigration;
15.
Feasibility study of Cu paste printing technique to fill deep via holes for low cost 3D TSV applications
机译:
Cu糊印刷技术的可行性研究低成本3D TSV应用填充深通孔
作者:
Hoang Tri Hai
;
Kang-Wook Lee
;
Daisuke Ando
;
Yuji Sutou
;
Mitsumasa Koyanagi
;
Junichi Koike
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
particles;
long filling;
TSV;
16.
Interconnect Design for Evolutionary, and Revolutionary Transistor Technologies
机译:
进化和革命性晶体管技术的互连设计
作者:
Divya Prasad
;
Azad Naeemi
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
BEOL;
Geometry optimization;
interconnect RC;
interconnect power;
interconnect delay;
17.
Resistance Contributions to Copper Interconnects
机译:
铜互连的抵抗贡献
作者:
C. Witt
;
F. Baumann
;
E. Huang
;
D. Rath
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Resistivity;
Electron Scattering;
Microstructure;
18.
Cobalt/Copper Composite Interconnects for Line Resistance Reduction in both Fine and Wide Lines
机译:
钴/铜复合材料互连,用于宽度和宽线
作者:
T. Nogami
;
R. Patlolla
;
J. Kelly
;
B. Briggs
;
H. Huang
;
J. Demarest
;
J. Li
;
R. Hengstebeck
;
X. Zhang
;
G. Lian
;
B. Peethala
;
P. Bhosale
;
J. Maniscalco
;
H. Shobha
;
S. Nguyen
;
P. McLaughlin
;
T. Standaert
;
D. Canaperi
;
D. Edelstein
;
V. Paruchuri IBM Research
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
cobalt;
copper;
composite or hybrid interconnect;
BEOL;
line resistance;
19.
Wide Range Tuning of Titanium Nitride Sheet Resistance for Thin Film Resistors
机译:
薄膜电阻钛氮化钛薄层电阻的宽范围调谐
作者:
Dirk Wolansky
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Titanium nitride;
TiN;
high resistivity TiN;
thin film resistor;
temperature coefficient of resistor;
TCR;
20.
Layout Based Monte-Carlo Simulation (LBMCS) for Complex Back End of Line (BEOL) Design Rule Study
机译:
基于布局的Monte-Carlo模拟(LBMC),用于线路的复杂后端(BEOL)设计规则研究
作者:
Dongbing Shao
;
L. A. Clevenger
;
Shyng-Tsong Chen
;
Robert Wong
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Design Ride;
Design Technology Co-Optimization;
Failure Analysis;
Reliability;
21.
A Novel Role for SiCN to Suppress H_2O Outgas from TEOS oxide films in Hybrid Bonding
机译:
SICN在杂交粘合中抑制TEOS氧化膜的H_2O outgas的新颖作用
作者:
T. Ueda
;
M. Tetani
;
Y. Morinaga
;
M. Hamada
;
M. Takeuchi
;
K. Ichinose
;
S. Uya
;
H. Yano
;
N. Sato
;
S. Matsumoto
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
SiCN;
BSI;
bonding;
22.
Metallurgical and Electrical Characterization of Ultrathin CoTi_x Liner/Barrier for Cu Interconnects
机译:
Cu互连超薄Coti_x衬垫/屏障的冶金和电学特性
作者:
Maryamsadat Hosseini
;
Junichi Koike
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
LSI;
interconnect;
diffusion barrier;
Co alloy;
23.
Opportunities for Further BEOL Technology Scaling using Power-Law IMD TDDB model on 10/14nm BEOL Process Technologies and Beyond
机译:
在10/14NM BEOL工艺技术及其他地区使用POWER-LAM IMD TDDB模型进行进一步BEOL技术缩放的机会
作者:
Tae-Young Jeong
;
Jinseok Kim
;
Myungsoo Yeo
;
Jonghyuk Park
;
Miji Lee
;
Sari Windu
;
Hyunjun Choi
;
Yuri Choi
;
Yunkyung Jo
;
Mi-ji Lee
;
Sangwoo Pae
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
IMD TDDB;
spacing;
lifetime;
model;
24.
Cobalt Fill for Advanced Interconnects
机译:
钴填充高级互连
作者:
Nikolaos Bekiaris
;
Zhiyuan Wu
;
He Ren
;
Mehul Naik
;
Jin Hee Park
;
Mark Lee
;
Tae Hong Ha
;
Wenting Hou
;
Jonathan R. Bakke
;
Max Gage
;
You Wang
;
Jianshe Tang
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
cobalt;
interconnect;
gap fill;
resistivity;
reliability back end of line (BEOL);
middle of line (MOL);
25.
Segment Removal Strategy in SAQP for Advanced BEOL Application
机译:
SAQP的段删除策略,用于高级BEOL应用
作者:
James Hsueh-Chung Chen
;
Terry A. Spooner
;
Jason E Stephens
;
Martin OToole
;
Nicholas LiCausi
;
Ben Kim
;
Shreesh Narasimha
;
Craig Child
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
implication;
interconnect;
BEOL interconnects;
26.
Methods to lower the resistivity of ruthenium interconnects at 7 nm node and beyond
机译:
降低钌互连在7nm节点及更远的方法的方法
作者:
Xunyuan Zhang
;
Huai Huang
;
Raghuveer Patlolla
;
Frank W. Mont
;
Xuan Lin
;
Mark Raymond
;
Cathy Labelle
;
E. Todd Ryan
;
Donald Canaperi
;
Theodore E. Standaert
;
Terry Spooner
;
Griselda Bonilla
;
Daniel Edelstein
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Ruthenium;
Interconnects;
Resistivity;
Scattering;
27.
Ultralow Resistive Wrap Around Contact to Scaled FinFET Devices by using ALD-Ti Contact Metal
机译:
通过使用ALD-TI触点金属,UltraLow电阻包围与缩放的FinFET器件缩放
作者:
S-A. Chew
;
H. Yu
;
M. Schaekers
;
S. Demuynck
;
G. Mannaert
;
E. Kunnen
;
E. Rosseel
;
A. Hikavyy
;
A. Dangol
;
K. De Meyer
;
D. Mocuta
;
N. Horiguchi IMEC
;
G. Leusink
;
C. Wajda
;
T. Hakamata
;
T. Hasegawa
;
K. Tapily
;
R. Clark TEL?
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
ALD Ti;
Wrap Around Contact;
FinFET;
Contact Resistivity;
28.
Minimized Hysteresis and Low Parasitic Capacitance TSV with PBO (Polybenzoxazole) Liner to Achieve Ultra-High-Speed Data Transmission
机译:
用PBO(聚苯苯并恶唑)衬里最小化滞后和低寄生电容TSV以实现超高速数据传输
作者:
Hisashi Kino
;
Masataka Tashiro
;
Yohei Sugawara
;
Seiya Tanikawa
;
Takafumi Fukushima
;
Tetsu Tanaka
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
3D IC;
TSV;
polymer liner;
PBO;
charge trap;
29.
Evaluation of Contact Resistivity of PtHfSi to p-Si(100) with Dopant Segregation Process
机译:
用掺杂剂分离过程评价Pthfsi至P-Si(100)的接触电阻率
作者:
Shun-ichiro Ohmi
;
Yuya Tsukamoto
;
Weiguang Zuo
;
Yasushi Masahiro
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
PtHfSi;
p-Si(100);
PtHf-alloy target;
dopant segregation;
contact resistivity;
cross-bridge Kelvin resistor;
Schottky barrier height;
radio frequency magnetron sputtering;
30.
Advanced Patterning Approaches for Cu/Low-k interconnects
机译:
CU / LOW-K互连的先进的图案化方法
作者:
C.H. Tsai
;
C. J. Lee
;
C.H. Huang
;
Jay Wu
;
H.W. Tien
;
H.C. Yao
;
Y.C. Wang S. L. Shue
;
M. Cao
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
challenges;
interconnect;
potential;
31.
Methodologies for Evaluating Post-Etching Damage in Ultra-low-k Dielectrics and New Chemistry for Damage Reduction
机译:
用于评估超低速仪电介质和新化学的蚀刻后蚀刻损伤的方法和减少损伤
作者:
Chih-Yu Hsu
;
Peng Shen
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
damage;
dry etching;
dielectric material;
plasma;
ultra-low-k;
32.
Process Challenges in Fully Aligned Via Integration for sub 32 nm Pitch BEOL
机译:
过程挑战通过集成为Sub 32 NM Titch Beol完全对齐
作者:
Benjamin D. Briggs
;
C. B. Pcethala
;
D. L. Rath
;
J. Lee
;
S. Nguyen
;
N. V. LiCausi
;
P. S. McLaughlin
;
H. You
;
D. Sil
;
N. A. Lanzillo
;
H. Huang
;
R. Patlolla
;
T. Haigh
;
Y. Xu
;
C. Park
;
P. Kerber
;
H. K. Shobha
;
Y. Kim
;
J. Demarest
;
J. Li
;
G. Lian
;
M. Ali
;
C. T Le
;
E. T. Ryan
;
L. A. C
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Resistance;
Metals;
Insulators;
Dielectrics;
Surfaces;
Roads;
Electronic mail;
33.
Surface Functionalization for Conductivity Improvement by Metal Atomic Layer Deposition
机译:
金属原子层沉积电导率改善的表面官能化
作者:
Han-Bo-Ram Lee
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Surface treatment;
Conductivity;
Graphene;
Atomic layer deposition;
Textiles;
Sputtering;
Fabrication;
34.
Microstructure Evolution and Implications for Cu Nanointerconnects and Beyond
机译:
Cu NanOingerconnects及超越的微观结构演变与含义
作者:
Szu-Tung Hu
;
Paul S. Ho
;
Linjun Cao
;
Laura Spinella
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Microstructure;
Conductivity;
Grain boundaries;
Reliability;
Strain;
Electromigration;
Microelectronics;
35.
Integration of Metallization Processes in Robust Interconnects Formation for 14 nm Nodes and beyond
机译:
在鲁棒互连中的金属化过程集成14 nm节点及更远
作者:
Nicolai Petrov
;
Shao Beng Law
;
Jonathan Rullan
;
Seungman Choi
;
San Leong Liew
;
Han Wah Ng
;
Shinichiro Kakita
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Metallization;
Plating;
Robustness;
Surfaces;
Chemistry;
Dielectrics;
36.
Spray Plasma Processing of Barrier Films Deposited in Air for Improved stability of Flexible Electronic Devices
机译:
喷射空气中沉积的阻挡膜的喷射等离子体处理,以提高柔性电子器件的稳定性
作者:
Nicholas Rolston
;
Adam D. Printz
;
Florian Hilt
;
Michael Q. Hovish
;
Reinhold H. Dauskardt
;
Karsten Brüning
;
Christopher J. Tassone
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Films;
Thin film transistors;
Thermal stability;
Photovoltaic cells;
Substrates;
Plasmas;
Morphology;
37.
Electroless Cobalt Via Pre-Fill Process for Advanced BEOL Metallization and Via Resistance Variation Reduction
机译:
通过预填充工艺进行高级BEOL金属化和电阻变化减少的化学钴
作者:
J. Gu
;
D. Zhao
;
M. Kamon
;
D. M. Fried
;
G. Harm
;
T. Mountsier
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Metals;
Conductivity;
Surface morphology;
Scattering;
Scalability;
Grain boundaries;
Electric potential;
38.
A Study on SADP Process Refresh for Patterning Correction
机译:
SADP过程刷新用于图案化矫正的研究
作者:
James Hsueh-Chung Chen
;
Isabel C Estrada-Raygoza
;
Cornelius Brown Peethale
;
Yann Mignot
;
Hosadurga Shobha
;
Theodorus E Standaert
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Lithography;
Loss measurement;
Dielectrics;
Inspection;
Process control;
Ultraviolet sources;
Production;
39.
Effect of Thermal Annealing on Low-K Dielectrics for iBEOL in View of 3D Sequential Integration
机译:
热退火对IBEOL低k电介质的影响鉴于3D顺序集成
作者:
S. Beaurepair
;
V. Jousseaume
;
P. Gonon
;
A. Bsiesy
;
C. Guerin
;
N. Rochat
;
C. Licitra
;
P-L. Charvet
;
M. Veillerot
;
N. Rambal
;
D. Nouguier
;
D. Ney
;
X. Federspiel
;
C. Fenouillet-Beranger
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Annealing;
Three-dimensional displays;
Thermal stability;
Temperature measurement;
Degradation;
Stability analysis;
40.
PVD- Treated ALD TaN for Cu Interconnect Extension to 5nm Node and Beyond
机译:
PVD处理的ALD TAN用于CU互连扩展到5nm节点及更远
作者:
Zhiyuan Wu
;
Rui Li
;
Xiangjin Xie
;
Wesley Suen
;
Jennifer Tseng
;
Nikos Bekiaris
;
Ramkumar Vinnakota
;
Keyvan Kashefizadeh
;
Mehul Naik
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Resistance;
Films;
Conductivity;
Reliability;
Copper;
Stress;
Ions;
41.
Challenges and Progress on Carbon Nanotube Integration for BEOL Interconnects
机译:
BEOL互连碳纳米管集成的挑战与进展
作者:
B. Uhlig
;
A. Dhavamani
;
N. Nagy
;
K. Lilienthal
;
R. Liske
;
R. Ramos
;
J. Dijon
;
H. Okuno
;
D. Kalita
;
J. Lee
;
V. Georgiev
;
A. Asenov
;
S. Amoroso
;
L. Wang
;
F. Koenemann
;
B. Gotsmann
;
G. Goncalves
;
B. Chen
;
J. Liang
;
R. R. Pandey
;
R. Chen
;
A. Todri-Sanial
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Integrated circuit interconnections;
Carbon nanotubes;
Integrated circuit modeling;
Conductivity;
Copper;
Resistance;
Thermal conductivity;
42.
Modulation of Within-Wafer and Within-die Topography for Damascene Copper in Advanced Technology
机译:
晶圆内圆形铜内晶圆内和模芯内部地形的调节
作者:
Wei-Tsu Tseng
;
Tien-Jen Cheng
;
Shafaat Ahmed
;
Jusang Lee
;
Frieder Baumann
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Plating;
Surfaces;
Copper;
Grain size;
Wires;
Annealing;
Chemistry;
43.
Non-Reagent Express Metrology for Modern Damascene Copper Plating Baths
机译:
现代镶嵌铜电镀浴的非试剂表达计量
作者:
Michael Pavlov
;
Danni Lin
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Copper;
Plating;
Electrolytes;
Additives;
Calibration;
Charge transfer;
Metrology;
44.
Interconnect-Device Co-Optimization for Field-Effect Transistors with Two-Dimensional Materials
机译:
用于二维材料的现场效应晶体管的互连设备共同优化
作者:
Devin Verreck
;
Goutham Arutchelvan
;
Ivan Ciofi
;
Marc M. Heyns
;
Iuliana P. Radu
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Wires;
Logic gates;
Delays;
Field effect transistors;
Molybdenum;
Sulfur;
Integrated circuit interconnections;
45.
High-Aspect-Ratio Ruthenium Lines for Buried Power Rail
机译:
埋地电源轨的高纵横比钌线
作者:
Anshul Gupta
;
Shreya Kundu
;
Lieve Teugels
;
Jürgen Bommels
;
Christoph Adelmann
;
Nancy Heylen
;
Geraldine Jamieson
;
Olalla Varela Pedreira
;
Ivan Ciofi
;
Bharani Chava
;
Christopher J. Wilson
;
Zsolt Tokei
会议名称:
《International Interconnect Technology Conference》
|
2018年
46.
Silicide Based Low Temperature and Low Pressure Bonding of TI/SI for Microfludic and Hermetic Selaling Application
机译:
用于微流体和气密密封应用的Ti / Si的硅化物的低温和低压粘合
作者:
C Hemanth Kumar
;
Asisa Kumar Pnaigrahi
;
Nirupam Paul
;
Satish Bonam
;
Siva Rama Krishna Vanjari
;
Shiv Govind Singh
;
Asisa Kumar Panigrahi
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Bonding;
Silicon;
Glass;
Microfluidics;
Micromechanical devices;
Substrates;
Surface treatment;
47.
Charge-Trap-Free Polymer-Liner Through-Silicon Vias for Reliability Improvement of 3D ICs
机译:
用于无硅衬里的充电陷阱聚合物 - 衬里通过硅通孔,用于3D IC的可靠性改进
作者:
Hisashi Kino
;
Sungho Lee
;
Yohei Sugawara
;
Takafumi Fukushima
;
Tetsu Tanaka
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Through-silicon vias;
Polymers;
Silicon;
Capacitance-voltage characteristics;
Hysteresis;
Capacitance;
48.
Validity and Application of the TCR Method to MOL contactS
机译:
TCR方法对MOL触点的有效性和应用
作者:
E. Milosevic
;
V. Kamineni
;
X. Zhang
;
H. Dixit
;
M. V. Raymond
;
H. Huang
;
R. Southwick
;
C. Janicki
;
N. Lanzillo
;
D. Gall
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Cooling;
Three-dimensional displays;
Microprocessors;
Electronic countermeasures;
Heating systems;
Microfluidics;
Electronic packaging thermal management;
49.
BEoL Layout Design Considerations to Mitigate CPI Risk
机译:
BEOL布局设计考虑因检层减轻CPI风险
作者:
Mohamed A. Rabie
;
Thiagarajan Raman
;
Fahad Mirza
;
Nicholas A. Polomoff
;
Danish Faruqui
;
Scott Pozder
;
Md Khaled Hassan
;
Tamer Desouky
;
Carole Graas
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Stress;
Metals;
Strain;
Packaging;
Rails;
Reliability;
Delamination;
50.
Ru Liner Scaling with ALD TaN Barrier Process for Low Resistance 7 nm Cu Interconnects and Beyond
机译:
Ru衬垫缩放与ALD TAN屏障工艺进行低电阻7 NM CU互连及更远
作者:
K. Motoyama
;
O. van der Straten
;
J. Maniscalco
;
H. Huang
;
YB. Kim
;
JK. Choi
;
JH. Lee
;
C.-K. Hu
;
P. McLaughlin
;
T. Standaert
;
R. Quon
;
G. Bonilla
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Resistance;
Conductivity;
Electrical resistance measurement;
Metals;
Plating;
Temperature measurement;
Inspection;
51.
Advanced Metallizatisn Processes Integration as Manufacturing Worthy Solutions for > 10:1 Aspect Ratio Mid-Process TSV
机译:
先进的Metallizatisn处理整合为制造价值的解决方案> 10:1纵横比中途TSV
作者:
Thierry Mourier
;
Mathilde Gottardi
;
Céline Ribiére
;
Stephane Minoret
;
Pierre-Emile Philip
;
Gilles Romero
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Through-silicon vias;
Copper;
Metallization;
Resistance;
Tin;
MOCVD;
Three-dimensional displays;
52.
Modified ALD TaN Barrier with Ru Liner and Dynamic Cu Reflow for 36nm Pitch Interconnect Integration
机译:
用Ru衬垫和动态Cu回流改进的ALD TAN屏障36nm间距互连集成
作者:
P. S. Bhosale
;
J. Maniscalco
;
N. Lanzillo
;
T. Nogami
;
D. Canaperi
;
K. Motoyama
;
H. Huang
;
P. McLaughlin
;
R. Shaviv
;
M. Stolfi
;
R. Vinnakota
;
G. How
;
S. Pethe
;
B. Sheu
;
X. Xie
;
L. Chen
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Films;
Resistance;
Plating;
Conductivity;
Reliability;
Plasmas;
Chemical vapor deposition;
53.
Silicon Trench Etch Uniformity Improvement for Microloading and Macro-to-Macro Loading for sub-14nmNode
机译:
硅沟蚀刻均匀性改善微载和宏观到宏加载的子14nmnode
作者:
Yong Mo Yang
;
Sang Woo Lim
;
Junsic Hong
;
Mira Park
;
Yinxiao Yang
;
Wang Keun Cho
;
Charlotte Adams
;
Massud Aminpur
;
Chang Ho Maeng
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Loading;
Legged locomotion;
Silicon;
Etching;
Logic gates;
Sensitivity;
Plasmas;
54.
Effective Methods Controlling Cu Overburdens for Cu RDL Process
机译:
Cu RDL过程控制Cu翻倒的有效方法
作者:
Kimoon Park
;
Jinhyun Lee
;
Bongyoung Yoo
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Substrates;
Soft lithography;
Electric potential;
Filling;
Copper;
Planarization;
55.
Replacement Metal Contact Using Sacrificial ILD0 for Wrap Around Contact in Scaled FinFET Technology
机译:
替换金属触点使用牺牲ild0在缩放的Finfet技术中包裹围绕接触
作者:
S-A. Chew
;
S. Demuynck
;
L. Zhang
;
A. Pacco
;
K. Devriendt
;
L. Teugels
;
T. Hopf
;
J. Versluijs
;
C. Vrancken
;
A. Dangol
;
E. Altamirano Sanchez
;
D. Mocuta
;
N. Horiguchi
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Bonding;
Manganese;
Conductivity;
Heat treatment;
Surface treatment;
Scanning electron microscopy;
Reliability;
56.
CMOS-Compatible Contacts for Si Photonics from Solid-State Reaction to Laser Integration
机译:
从固态反应到激光集成的Si光子学的CMOS兼容触点
作者:
E. Ghegin
;
S. Zhiou
;
S. Bensalem
;
L. Toselli
;
M. Pasquali
;
S. Favier
;
C. Jany
;
B. Szelag
;
P. Gergaud
;
F. Nemouchi
;
Ph. Rodriguez
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Copper;
Surface treatment;
Metallization;
Plating;
Inspection;
Electron beams;
57.
A First-Principles Density Functional Theory Based Framework for Barrier Material Screening
机译:
基于第一原理的障碍材料筛选框架
作者:
Ganesh Hegde
;
R. Chris Bowen
;
Harsono Simka
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Resistance;
Metals;
Films;
Conductivity;
Annealing;
Integrated circuit interconnections;
Electrical resistance measurement;
58.
Integration Challenges of Low Temperature BEOL Interconnects
机译:
低温BEOL互连的集成挑战
作者:
Bharat Bhushan
;
Yi Jiang
;
Wanbing Yi
;
Juan Boon Tan
;
Zhehui Wang
;
Chin Chuan Neo
;
Guoqing Lin
;
Kah Wee
;
Ju Dy
;
Yew Tuck Chow
;
Francis Poh
;
Danny Shum
;
Kerry Nagel
;
Sarin Deshpande
;
Moazzem Hossain
;
Sanjeev Aggarwal
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Low Temperature;
BEOL;
Embedded Device;
DVT;
HAR Via;
Pad Via;
59.
Replacing Copper Interconnects with Graphene at a 7-nm Node
机译:
用7 nm节点用石墨烯替换铜互连
作者:
Ning C. Wang
;
Saurabh Sinha
;
Brian Cline
;
Chris D. English
;
Greg Yeric
;
Eric Pop
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
graphene;
scaled interconnects;
design technology co-optimization;
size-effect;
coupling capacitance;
60.
Reliability of Hybrid Bond Interconnects
机译:
混合键互连的可靠性
作者:
J.P. Gambino
;
R. Winzenread
;
K. Thomas
;
R. Muller
;
H. Truong
;
D. Defibaugh
;
D. Price
;
K. Goshima
;
T. Hirano
;
Y. Watanabe
;
M. Breen
;
N. Oldham
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
hybrid bonding;
3D integrated circuits;
reliability;
61.
Tungsten Corrosion and Recess Improvement by Feasible Slurry and Clean Chemical in WCMP Process
机译:
通过可行的浆料和WCMP工艺中清洁化学品的钨腐蚀和休息改善
作者:
Kuang-Wei Chen
;
Chun-Fu Chen
;
Yung-Tai Hung
;
Tuung Luoh
;
Ling-Wuu Yang
;
Tahone Yang
;
Kuang-Chao Chen
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
W CMP;
via plug recess;
corrosion;
via resistance;
W slurry;
oxide buffing;
clean chemical;
layout design;
62.
Impact of Pattern Collapse on Future Micro/Nano Fabrication
机译:
模式崩溃对未来微/纳米制造的影响
作者:
Xiao Hu Liu
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
pattern collapse;
low-k dielectric;
wet clean;
capillarity;
van der Waals;
finite element simulation;
63.
3D Stacking Cobalt and Nickel Microbumps and Kinetics of Corresponding IMCs at Low Temperatures
机译:
3D堆叠钴和镍microbumps和相应IMC的动力学在低温下
作者:
Inge De Preter
;
Jaber Derakhshandeh
;
Fuya Nagano
;
Shamin Houshmand Sharifi
;
Lin Hou
;
Pieter Bex
;
Samuel Suhard
;
Toshiaki Shibata
;
Yukinori Oda
;
Shigeo Hashimoto
;
Ruben Lieten
;
Kenneth June Rebibis
;
Andy Miller
;
Gerald Beyer
;
Eric Beyne
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
consumption;
addressed;
specific;
64.
Resistivity of copper interconnects at 28 nm pitch and copper cross-sectional area below 100 nm~2
机译:
铜互连电阻率在28nm间距和铜横截面积低于100nm〜2以下
作者:
A. Pyzyna
;
H. Tsai
;
M. Lofaro
;
L. Gignac
;
H. Miyazoe
;
R. Bruce
;
C. M. Breslin
;
M. Brink
;
D. Klaus
;
M. Guillorn
;
C. Lavoie
;
K. P. Rodbell
;
D.-G. Park
;
E. Joseph
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
damascene;
copper;
resistivity;
interconnect;
directed self-assembly;
line edge roughness;
65.
Growth rate of IMC in the binary sytems of Co/Sn and Cu/Sn
机译:
CO / Sn和Cu / Sn的二元系统中IMC的生长速率
作者:
Fuya Nagano
;
Masanori Kajihara
;
Jaber Derakhshandeh
;
Lin Hou
;
Myriam Van De Peer
;
Inge De Preter
;
Shamin Houshmand Sharifi
;
Kenneth June Rebibis
;
Andy Miller
;
Gerald Beyer
;
Eric Beyne
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Intermetallic compound;
Cu/Sn;
Co/Sn;
growth rate;
activation energy;
66.
Fabrication and Characterization of Copper Nanowires with Dense Nanoscale Twin Boundaries
机译:
铜纳米线具有致密纳米级双界铜纳米线的制造与表征
作者:
Wei-Lun Weng
;
Wen-Hao Lai
;
Jheng-Syun Lee
;
Chien-Neng Liao
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Copper nanowire;
Twin boundary;
Electrical endurance;
electromigration;
67.
Middle of Line RC Performance Study at the 7 nm Node
机译:
中间的RC绩效在7个NM节点上进行
作者:
Susan Su-Chen Fan
;
James Hsueh-Chung Chen
;
Vimal Kumar Kamineni
;
Xunyuan Zhang
;
Mark Raymond
;
Cathy Labelle
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
performance;
optimization;
source drain contact;
68.
RDL layout pattern reliability analysis and optimization with TCAD stress modeling
机译:
RDL布局模式可靠性分析与TCAD应力建模优化
作者:
Tzu Chen Wang
;
Chih Chieh Yeh
;
Xiaopeng Xu
;
Karim El Sayed
;
Chun-Hung Steven Lin
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
RDL;
layout pattern;
copper line;
die pitch;
design ride;
TCAD modeling;
mechanical stress;
reliability;
69.
Cobalt Interconnect on Same Copper Barrier Process Integration at the 7nm node
机译:
钴互连在7nm节点上的相同铜屏障过程集成
作者:
Frank W. Mont
;
Xunyuan Zhang
;
Wei Wang
;
James J. Kelly
;
Theodoras E. Standaert
;
Roger Quon
;
E. Todd Ryan
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Cobalt Interconnect;
resistivity;
Copper;
integration;
barrier;
BEOL;
70.
Pure Co films of low resistivity and high conformality by low temperature thermal CVD/ALD using novel Co precursors
机译:
使用新型CO前体的低温热CVD / ALD纯CO膜低电阻率和高符合性
作者:
Raphael Rochat
;
Ivan Oschchepkov
;
Changhee Ko
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
ALD;
CVD;
atomic layer deposition;
71.
Electromigration and resistivity in on-chip Cu, Co and Ru damascene nanowires
机译:
片上Cu,Co和Ru镶嵌纳米线的电阻率
作者:
C.-K. Hu
;
J. Kelly
;
J. H-C Chen
;
H. Huang
;
Y. Ostrovski
;
R. Patlolla
;
B. Peethala
;
P. Adusumilli
;
T. Spooner
;
G. Lian
;
M. Ali
;
R. Long
;
G. Hornicek
;
T. Kane
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Cu;
Ru;
Co;
electromigration;
resistivity;
72.
Study of electromigration mechanisms in 22nm halfpitch Cu interconnects by 1/f noise measurements
机译:
通过1 / f噪声测量的22nm半分点Cu互连的电迁移机制研究
作者:
S. Beyne
;
K. Croes
;
M. H. van der Veen
;
O. Varela Pedreira
;
Q. Qi
;
I. De Wolf
;
Zs. T?kei
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
interconnects;
electromigration;
low-frequency noise;
activation energy;
barriers;
liners;
TaN barrier;
Ru liner;
Co liner;
Mn barrier;
73.
Considering Percolation Path Growth in Low-k Dielectric TDDB Measurements
机译:
考虑到低k电介质TDDB测量的渗透路径增长
作者:
C. Wu
;
Y. Li
;
Zs. T?kei
;
K. Croes
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Low-k;
Reliability;
TDDB;
Percolation Path;
SBD;
74.
Transistors on two-dimensional semiconductors: contact resistance limited by the contact edges
机译:
二维半导体上的晶体管:接触边缘有限的接触电阻
作者:
G. Arutchelvan
;
P. Matagne
;
C. Lockhart de la Rosa
;
S. Sutar
;
S. De Gendt
;
M. Heyns
;
I. Radu
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
MoS2;
contact resistance;
modeling;
spatial distribution;
75.
N5 Technology Node Dual-Damascene Interconnects Enabled Using Multi Patterning
机译:
N5技术节点双镶嵌互连使用多图案化启用
作者:
B. Briggs
;
C. J. Wilson
;
K. Devriendt
;
M. H. van der Veen
;
S. Decoster
;
S. Paolillo
;
J. Versluijs
;
E. Kesters
;
F. Sebaai
;
N. Jourdan
;
Z. El-Mekki
;
N. Heylen
;
P. Verdonck
;
Danny Wan
;
O. Varela Pedreira
;
K. Croes
;
S. Dutta
;
J. Ryckaert
;
A. Mallik
;
S. Lariviere
;
J. B?mmels
;
Zs. T?kei
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
SAQP;
LE3;
Tone-Inversion;
Metal-Cut;
Block;
76.
Identifying Wafer Fabrication Defect Signatures
机译:
识别晶片制造缺陷签名
作者:
Kamal Taha
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Semiconductor wafer fabrication;
wafer defect;
77.
2 Ruthenium interconnects with 58 nm~2 cross-section area using a metal-spacer process
机译:
2钌互连,使用金属间隔工艺与58nm〜2横截面区域互连
作者:
Shibesh Dutta
;
Shreya Kundu
;
Lianggong Wen
;
Geraldine Jamieson
;
Kristof Croes
;
Anshul Gupta
;
Jürgen B?mmels
;
Christopher J. Wilson
;
Christoph Adelmann
;
Zsolt T?kei
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Ruthenium;
interconnects;
metallic spacer;
78.
Reliable Airgap BEOL Technology in Advanced 48 nm Pitch Copper/ULK Interconnects for Substantial Power and Performance Benefits
机译:
可靠的气球BEOL技术在高级48 NM间距铜/ ULK互连,可实现大量功率和性能优势
作者:
C. Penny
;
S. Gates
;
B. Peethala
;
J. Lee
;
D. Priyadarshini
;
S. Nguyen
;
P. McLaughlin
;
E. Liniger
;
C.-K. Hu
;
L. Clevenger
;
T. Hook
;
H. Shobha
;
P. Kerber
;
I. Seshadri
;
J. Chen
;
D. Edelstein
;
R. Quon
;
G. Bonilla
;
V. Paruchuri
;
E. Huang
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
challenges associated;
airgap formation;
extremely;
79.
Feasibility Study of Fully Self Aligned Vias for 5nm Node BEOL
机译:
用于5nm节点BEOL的完全自对准通孔的可行性研究
作者:
Gayle Murdoch
;
Jürgen B?mmels
;
Christopher J. Wilson
;
Khashayar Babaei Gavan
;
Quoc Toan Le
;
Zsolt T?kei
;
William Clark
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
present the concept;
Fully;
proposed;
80.
Spin waves for interconnect applications
机译:
用于互连应用的旋转波
作者:
F. Ciubotaru
;
O. Zografos
;
G. Talmelli
;
C. Adelmann
;
I.P. Radu
;
T. Fischer
;
A. Chumak
;
P. Pirro
;
B. Hillebrands
会议名称:
《International Interconnect Technology Conference》
|
2017年
关键词:
Spin waves;
ferromagnetic materials;
waveguides;
81.
IITC 2018 Keynote Title CMOS/Cu BEOL Technology in Manufacturing: 20 Years and C
机译:
IITC 2018主题演讲标题CMOS / CU BEOL技术在制造中:20年和C.
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Technological innovation;
Wires;
Metals;
CMOS technology;
Damascene integration;
82.
Quantum Computing and the Importance of Interconnects
机译:
量子计算和互连的重要性
作者:
James S. Clarke
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Quantum computing;
Silicon;
Lakes;
Supercomputers;
Companies;
Government;
83.
The Future of Interconnects: Challenges and Enabling Technologies
机译:
互连的未来:挑战和启用技术
作者:
Kevin Lin
;
Manish Chandhok
;
Miriam Reshotko
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Conductivity;
Wires;
Metallization;
Reliability;
Surface resistance;
84.
Interconnect Challenges and Opportunities in the Memory Space
机译:
互连记忆空间中的挑战和机遇
作者:
John Smythe
;
Marko Milojevic
;
Greg Herdt
;
Sumeet Pandey
;
Richard Hill
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Chemistry;
Memory management;
Hardware;
Copper;
Conductors;
Metrology;
85.
Electrolytic Cobalt Fill of Sub-5 nm Node Interconnect Features
机译:
Sub-5 NM节点互连特征的电解钴填充
作者:
F. Wafula
;
J Wu
;
S Branagan
;
H Suzuki
;
A Gracias
;
J van Eisden
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Additives;
Cobalt;
Plating;
Substrates;
Filling;
Hysteresis;
86.
Testing The Limits of TaN Barrier Scaling
机译:
测试TAN屏障缩放的限制
作者:
C. Witt
;
K.B. Yeap
;
A. Le?niewska
;
D. Wan
;
N. Jordan
;
I. Ciofi
;
C. Wu
;
Z. Tokei
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Metals;
TV;
Resistance;
Acceleration;
Testing;
Capacitors;
87.
Tungsten Plug Missing Defects Monitoring Method and Its Solution by Optimization of Polymer Cleaning and Micro-environment
机译:
通过优化聚合物清洁和微环境优化钨插头缺失缺陷监测方法及其解决方案
作者:
Rongwei Fan
;
Hunglin Chen
;
Kai Wang
;
Yin Long
;
Qiliang Ni
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Cleaning;
Computed tomography;
Polymers;
Monitoring;
Optimization;
Tungsten;
Inspection;
88.
Damascene Benchmark of Ru, Co and Cu in Scaled Dimensions
机译:
ru,co和cu在缩放尺寸中的大型镶嵌基准
作者:
Marleen H. van der Veen
;
N. Heyler
;
O. Varela Pedreira
;
I. Ciofi
;
S. Decoster
;
V. Vega Gonzalez
;
N. Jourdan
;
H. Struyf
;
K. Croes
;
C. J. Wilson
;
Zs. T?kei
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Metals;
Logic gates;
Crystals;
Gallium nitride;
Field effect transistors;
Contact resistance;
Epitaxial growth;
89.
Oxidation Structure Change of Copper Surface Depending on Accelerated Humidity
机译:
根据加速湿度的氧化结构变化铜表面
作者:
Ploybussara Gomasang
;
Satoru Ogiue
;
Kazuyoshi Ueno
;
Shinji Yokogawa
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Humidity;
Oxidation;
Atomic measurements;
Electrical resistance measurement;
Copper;
Surface resistance;
90.
Pathfinding of Ru-Liner/Cu-Reflow Interconnect Reliability Solution
机译:
Ru-Liner / Cu回流互连可靠性解决方案的路径
作者:
Zhiyuan Wu
;
Feng Chen
;
Gang Shen
;
Yufei Hu
;
Shirish Pethe
;
Joung Joo Lee
;
Jennifer Tseng
;
Wesley Suen
;
Ramkumar Vinnakota
;
Keyvan Kashefizadeh
;
Mehul Naik
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Reliability;
Dielectrics;
Cobalt;
Resistance;
Grain boundaries;
Electromigration;
Ions;
91.
Impact of Line and Via Resistance on Device Performance at the 5nm Gate All Around Node and Beyond
机译:
线路和通过电阻对5nm门的电阻对节点及以外的5nm门的影响
作者:
Nicholas A. Lanzillo
;
Koichi Motoyama
;
Terence Hook
;
Larry Clevenger
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Resistance;
Performance evaluation;
Delays;
Degradation;
Optimization;
Loading;
Conductors;
92.
Impact of Sn content in Ge
1?x
Sn
x
layers on Ni-stanogermanides solid-state reaction and properties
机译:
GE
1?X / INM> Sn
X×X / INM>在Ni-Stanogermanides固态反应和性能下的影响
作者:
A. Quintero
;
P. Gergaud
;
N. Chevalier
;
J. Aubin
;
J. M. Hartmann
;
V. Loup
;
V. Reboud
;
E. Cassan
;
Ph. Rodriguez
会议名称:
《International Interconnect Technology Conference》
|
2018年
93.
Impact of Liner Metals on Copper Resistivity at Beyond 7nm Dimensions
机译:
衬里金属对7nm尺寸超出铜电阻率的影响
作者:
H. Huang
;
N. Lanzillo
;
T. E. Standaert
;
K. Motoyama
;
C. Yang
;
H. Shobha
;
J. F. Maniscalco
;
T. Nogami
;
J. Li
;
T. A. Spooner
;
G. Bonilla
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Conductivity;
Scattering;
Resistance;
Copper;
Temperature measurement;
Grain boundaries;
94.
Extreme Contact Scaling with Advanced Metallization of Cobalt
机译:
具有高级金属化钴的极端接触缩放
作者:
Raymond Hung
;
Jin Hee Park
;
Tae Hong Ha
;
Mark Lee
;
Wenting Hou
;
Jianxin Lei
;
Jonathan R. Bakke
;
Shashank Sharma
;
Karthik Raman Sharma
;
Nam Sung Kim
;
Ellie Yeh
;
Amir Wachs
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Bonding;
Annealing;
Dielectrics;
Surface cleaning;
Chemicals;
Silicon;
95.
Cu Barrier Properties of Cluster-Preforming-Deposited Amorphous -
$mathrm{WSi}_{n}$
Films Depending on Composition
$n$
机译:
Cull-Preforming-Proposited非晶 -
$ Mathrm {WSI} _ {n} $ tex>电影的Cu阻隔性能,具体取决于Componsex
$ n $ tex>
作者:
Naoya Okada
;
Noriyuki Uchida
;
Shinichi Ogawa
;
Toshihiko Kanayama
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Films;
Silicon;
Annealing;
Atomic layer deposition;
Thermal stability;
Stress;
Conductivity;
96.
The Impact of Solute Segregation on Grain Boundaries in Dilute CU Alloys
机译:
溶质偏析对稀Cu合金中晶界的影响
作者:
Takanori Tsurumaru
;
Luke Prestowitz
;
Brendan OBrien
;
Kathleen Dunn
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Copper;
Films;
Cobalt;
Grain boundaries;
Plating;
Annealing;
97.
System-Level Impact of Interconnect Line-Edge Roughness
机译:
互连线边缘粗糙度的系统级别影响
作者:
R. Baert
;
I. Ciofi
;
Ph. Roussel
;
L. Mattii
;
P. Debacker
;
Zs. T?kei
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Resistance;
Wires;
Delays;
Metallization;
Correlation;
Analytical models;
98.
Stress Induced Densification of Thin Porous Low-K Films During Nanoindentation
机译:
纳米凸缘期间应力诱导薄多孔低k薄膜的致密化
作者:
O. O. Okudur
;
M. Rcdzheb
;
K. Vanstreels
;
H. Zahcdnamesh
;
M. Gonzalez
;
I. De Wolf
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Films;
Substrates;
Stress;
Probes;
Thickness measurement;
Iron;
99.
Electromigration and Thermal Storage Study of Barrierless Co Vias
机译:
障碍CO孔的电迁移和热存储研究
作者:
O. Varela Pedreira
;
K. Croes
;
H. Zahedmanesh
;
K. Vandersmissen
;
M. H. van der Veen
;
V. Vega Gonzalez
;
D. Dictus
;
L. Zhao
;
A. Kolies
;
Zs. T?kei
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Stress;
Electromigration;
Resistance;
Failure analysis;
Metals;
Reliability;
Kelvin;
100.
Strategy of Insertion of Merge Features in a Sea of Wires SADP Integration
机译:
在电线海洋中插入策略SADP集成
作者:
James Hsueh-Chung Chen
;
Terry A. Spooner
;
Lawrence A. Clevenger
;
Martin OToole
;
Atsushi Ogino
;
Louis Lanzerotti
;
Sean Reidy
;
Craig Child
会议名称:
《International Interconnect Technology Conference》
|
2018年
关键词:
Lithography;
Merging;
Shape;
Metals;
Wires;
Dielectrics;
Europe;
意见反馈
回到顶部
回到首页