掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Reliability Physics Symposium Proceedings, 2004. 42nd Annual
Reliability Physics Symposium Proceedings, 2004. 42nd Annual
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A study of output power stability of GaN HEMTs on SiC substrates
机译:
SiC衬底上GaN HEMT的输出功率稳定性研究
作者:
Boutros
;
K.S.
;
Rowell
;
P.
;
Brar
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
gallium compounds;
III-V semiconductors;
aluminium compounds;
wide band gap semiconductors;
high electron mobility transistors;
power HEMT;
semiconductor device reliability;
leakage currents;
power amplifiers;
output power stability;
GaN HEMTs;
SiC substrates;
power amplifiers;
high gain;
power delivery;
GaN/AlGaN HEMTs;
device output power;
quiescent currents;
leakage currents;
40 V;
250 degC;
GaN-AlGaN;
SiC;
2.
An alpha immune and ultra low neutron SER high density SRAM
机译:
α免疫和超低中子SER高密度SRAM
作者:
Roche
;
P.
;
Jacquet
;
F.
;
Caillat
;
C.
;
Schoellkopf
;
J.-P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
SRAM chips;
DRAM chips;
neutron effects;
alpha-particle effects;
radiation hardening (electronics);
integrated circuit reliability;
CMOS integrated circuits;
ultra low neutron SER high density SRAM;
alpha immune high density SRAM;
terrestrial radiations;
sensitive memory nodes;
soft error;
eDRAM capacitors;
120 nm CMOS technology;
120 nm;
3.
Analysis of leakage mechanisms and leakage pathways in intra-level Cu interconnects
机译:
层内铜互连中的泄漏机理和泄漏路径分析
作者:
Ngwan
;
V.C.
;
Chunxiang Zhu
;
Krishnamoorthy
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit interconnections;
integrated circuit reliability;
Poole-Frenkel effect;
permittivity;
dielectric thin films;
electric breakdown;
leakage mechanisms;
leakage pathways;
intra-level Cu interconnects;
carrier transport modeling;
Schottky mechanisms;
Poole-Frenkel mechanisms;
ohmic leakage;
interfaces-induced pathways;
multilayer interconnects;
Cu;
4.
Charge trapping and device performance degradation in MOCVD hafnium-based gate dielectric stack structures
机译:
MOCVD f基栅介电堆栈结构中的电荷俘获和器件性能下降
作者:
Young
;
C.D.
;
Bersuker
;
G.
;
Brown
;
G.A.
;
Lysaght
;
P.
;
Zeitzoff
;
P.
;
Murto
;
R.W.
;
Huff
;
H.R.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
hafnium compounds;
dielectric thin films;
semiconductor device breakdown;
semiconductor device reliability;
electron mobility;
MOCVD coatings;
MOSFET;
charge trapping;
device performance degradation;
MOCVD hafnium-based gate dielectric stack structures;
interfacial oxide;
inversion charge loss;
electron trapping;
high field electron mobility;
HfO/sub 2/;
5.
Chip-level ESD simulation for fail detection and design guidance
机译:
芯片级ESD仿真,用于故障检测和设计指导
作者:
Druen
;
S.
;
Streibl
;
M.
;
Zangl
;
F.
;
Schneider
;
J.
;
Glaser
;
U.
;
Esmark
;
K.
;
Stadler
;
W.
;
Gossner
;
H.
;
Schmitt-Landsiedel
;
D.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit design;
integrated circuit reliability;
electrostatic discharge;
Monte Carlo methods;
chip-level ESD simulation;
fail detection;
design guidance;
VLSI designs;
deep submicron technologies;
mixed signal designs;
modem digital designs;
simulation;
verification methods;
simulation approach;
analysis method;
I/O cell ring;
chip supply concept;
Monte-Carlo permutational approach;
6.
CMOS transistor electrical ageing experiments to build VHDL-AMS behavioral models
机译:
CMOS晶体管电老化实验以建立VHDL-AMS行为模型
作者:
Mongellaz
;
B.
;
Marc
;
F.
;
Danto
;
Y.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
ageing;
integrated circuit reliability;
MOSFET;
semiconductor device reliability;
semiconductor device breakdown;
electric breakdown;
CMOS transistor electrical ageing experiments;
VHDL-AMS behavioral models;
electrical ageing effects;
7.
Comparison of ultra-thin gate oxide ESD protection capability of silicided and silicide-blocked MOSFETS
机译:
硅化和硅化阻挡MOSFET的超薄栅极氧化物ESD保护能力的比较
作者:
Lee
;
J.H.
;
Shih
;
J.R.
;
Yu
;
K.F.
;
Wu
;
Y.H.
;
Ong
;
T.C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
electrostatic discharge;
MOSFET;
dielectric thin films;
semiconductor device breakdown;
semiconductor device reliability;
CMOS integrated circuits;
integrated circuit reliability;
ultra-thin gate oxide ESD protection capability;
silicide-blocked MOSFETS;
silicided MOSFET;
ground gate NMOSFETs;
snapback;
8.
Detection of tin plating and tin whisker mitigation
机译:
检测镀锡和减轻锡须
作者:
Bjorndahl
;
W.D.
;
Singleton
;
L.
;
Griese
;
R.
;
Chong
;
F.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
aerospace materials;
tin;
whiskers (crystal);
metallic thin films;
X-ray fluorescence analysis;
scanning electron microscopy;
semiconductor device reliability;
soldering;
tin whisker mitigation;
tin plating;
whisker growth;
space industry;
on-orbit satellites failure;
visual inspection;
electronic components;
packaging;
x-ray techniques;
elemental analysis;
SEMs;
portable x-ray fluorescence;
9.
Development and use of a miniaturized health monitoring device
机译:
小型健康监测装置的开发和使用
作者:
Rouet
;
V.
;
Foucher
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
biosensors;
capacitive sensors;
accelerometers;
humidity sensors;
temperature sensors;
semiconductor device reliability;
electric sensing devices;
miniaturized health monitoring device;
Time Stress Measurement Device;
battery-powered device;
microcontroller;
electronic management;
memory;
data storage;
sensors;
turnkey software;
environmental stress sensors;
10.
Different approaches for reliability enhancement of p-channel flash memory
机译:
增强P通道闪存可靠性的不同方法
作者:
Chung
;
S.S.
;
Chen
;
Y.-J.
;
Tsai
;
H.-W.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
semiconductor device reliability;
hot carriers;
reliability enhancement;
p-channel flash memory;
data retention;
drain-disturb;
gate-engineering approach;
substrate bias enhanced Avalanche Hot Electron injection programming scheme;
11.
Drain biased TDDB lifetime model for ultra thin gate oxide
机译:
超薄栅氧化物的漏极偏置TDDB寿命模型
作者:
Chin-Yuan Ko
;
Tsai
;
Y.S.
;
Liao
;
P.J.
;
Wang
;
J.J.
;
Oates
;
A.
;
Wu
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
dielectric thin films;
carrier density;
charge injection;
MOSFET;
drain biased TDDB lifetime model;
ultra thin gate oxide;
hole injection;
stress area difference;
strong area dependence;
12.
Effect of magnetic field on plasma damage during VIA etching in sub-micron CMOS technology
机译:
亚微米CMOS技术在VIA蚀刻过程中磁场对等离子体损伤的影响
作者:
Nam Sung Kim
;
Hyun Gu Yoon
;
Chee Kiat Lee
;
Jing Zhao
;
Chow Yew Tuck
;
Yong Sean Cheah
;
Wong Wing Yew
;
Southworth
;
P.
;
Sang Hyun Han
;
Pey
;
K.S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOS capacitors;
sputter etching;
contact resistance;
ion beam effects;
radiation hardening (electronics);
CMOS integrated circuits;
integrated circuit reliability;
magnetic field;
plasma damage;
VIA etching;
sub-micron CMOS technology;
gate oxide;
n/pMOS capacitors;
plasma charging damage;
13.
Effect of thermal gradients on the electromigration life-time in power electronics
机译:
热梯度对电力电子中电迁移寿命的影响
作者:
Nguyen
;
H.V.
;
Salm
;
C.
;
Krabbenborg
;
B.
;
Weide-Zaage
;
K.
;
Bisschop
;
J.
;
Mouthaan
;
A.J.
;
Kuper
;
F.G.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
electromigration;
integrated circuit metallisation;
integrated circuit reliability;
thermoelectricity;
power electronics;
thermal gradients;
electromigration life-time;
power electronics;
thermomigration;
temperature gradient;
electromigration-induced failures;
14.
Effects of low k film properties on electromigration performance
机译:
低k膜性质对电迁移性能的影响
作者:
Wei Lu
;
Yeow Kheng Lim
;
See
;
A.
;
Tae Jong Lee
;
Liang Choo Hsia
;
Hander
;
J.
;
Haiying Fu
;
Ling Soon Wong
;
Fong Pin Fen
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
electromigration;
dielectric thin films;
carbon;
semiconductor device breakdown;
semiconductor device reliability;
copper;
integrated circuit interconnections;
integrated circuit reliability;
mechanical strength;
fracture toughness;
low k film properties;
electromigration performance;
reliability;
first-generation C-doped dielectric film;
high mechanical strength;
improved toughness;
cohesive failures;
increased film fracture toughness;
Cu;
15.
Effects of thin SiN interface layer on transient I-V characteristics and stress induced degradation of high-k dielectrics
机译:
薄SiN界面层对瞬态I-V特性和应力引起的高k电介质降解的影响
作者:
Kang
;
C.Y.
;
Cho
;
H.-J.
;
Kang
;
C.S.
;
Choi
;
R.
;
Kim
;
Y.H.
;
Rhee
;
S.J.
;
Choi
;
C.H.
;
Akbar
;
S.M.
;
Lee
;
J.C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon compounds;
dielectric thin films;
semiconductor device breakdown;
semiconductor device reliability;
interface structure;
interface states;
defect states;
thin SiN interface layer;
transient I-V characteristics;
stress induced degradation;
high-k dielectrics;
charge trapping;
de-trapping characteristics;
time-dependent threshold voltage instability;
16.
Electromigration performance enhancement of Cu interconnects with PVD Ta cap
机译:
带有PVD Ta帽的Cu互连件的电迁移性能增强
作者:
Gajewski
;
D.A.
;
Meixner
;
T.
;
Feil
;
B.
;
Lien
;
M.
;
Walls
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit interconnections;
integrated circuit reliability;
electromigration;
tantalum;
vapour deposited coatings;
electromigration performance enhancement;
Cu interconnects;
PVD Ta cap;
activation energy;
conventional barrier/seed process;
Ta;
Cu;
17.
Electromigration reliability enhancement of flip chip interconnects using Cu-doped SnPb solder
机译:
使用掺杂Cu的SnPb焊料增强倒装芯片互连的电迁移可靠性
作者:
Wu
;
J.D.
;
Lee
;
C.W.
;
Wu
;
S.Y.
;
Li
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
electromigration;
semiconductor device reliability;
soldering;
tin alloys;
lead alloys;
copper alloys;
nickel alloys;
flip-chip devices;
ball grid arrays;
integrated circuit interconnections;
integrated circuit metallisation;
electromigration reliability enhancement;
flip chip interconnects;
Cu-doped SnPb solder;
electromigration resistance;
solder bump compositions;
Sn63Pb37;
SnPbCu;
SnPbNi;
FCBGA;
characteristic life;
reliability degradation;
Sn/sub 63/Pb/sub 37/;
18.
Enhanced ESD protection robustness of a lateral NPN structure in the advanced CMOS
机译:
先进CMOS中横向NPN结构的增强ESD保护鲁棒性
作者:
Vassilev
;
V.
;
Groeseneken
;
G.
;
Steyaert
;
M.
;
Maes
;
H.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
electrostatic discharge;
MOSFET;
CMOS integrated circuits;
integrated circuit reliability;
semiconductor device breakdown;
semiconductor device reliability;
leakage currents;
dielectric thin films;
enhanced ESD protection robustness;
lateral NPN structure;
advanced CMOS;
lateral snapback structure;
ESD failure levels;
grounded gate NMOS;
gate oxide breakdown;
lower junction capacitance;
90 nm;
19.
Failure analysis on resistive opens with Scanning SQUID Microscopy
机译:
使用扫描SQUID显微镜对电阻开路进行故障分析
作者:
Hsiung
;
S.
;
Tan
;
K.V.
;
Komrowski
;
A.J.
;
Sullivan
;
D.J.D.
;
Gaudestad
;
J.
;
Orozco
;
A.
;
Talanova
;
E.
;
Knauss
;
L.A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
SQUIDs;
nondestructive testing;
semiconductor device testing;
failure analysis;
resistive opens;
Scanning SQUID Microscopy;
current density imaging technique;
die-level shorts;
localize package-level shorts;
nondestructive failure analysis;
wire-bond devices;
flip-chip devices;
20.
Flash memory under cosmic alpha irradiation
机译:
宇宙和阿尔法辐射下的闪存
作者:
Fogle
;
A.D.
;
Darling
;
D.
;
Blish
;
R.C.
;
II
;
Dasko
;
G.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
proton effects;
neutron effects;
cosmic ray interactions;
radiation hardening;
semiconductor device reliability;
NOR circuits;
flash memory;
alpha irradiation;
cosmic irradiation;
proton irradiation;
neutron irradiation;
NOR Flash memory;
conventional floating polySi gate;
ONO floating gate MirrorBit;
soft error failure rate;
21.
Gate fault isolation and parametric characterization through the use of Atomic Force Probing
机译:
通过使用原子力探测进行门故障隔离和参数表征
作者:
Erickson
;
A.N.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
integrated circuit reliability;
atomic force microscopy;
semiconductor device testing;
integrated circuit testing;
electric breakdown;
tunnelling;
gate fault isolation;
parametric characterization;
Atomic Force Probing;
gate oxide breakdown;
pico-current imaging;
conductive imaging;
22.
Gate oxide multiple soft breakdown (Multi-SBD) impact on CMOS inverter
机译:
栅氧化物多次软击穿(Multi-SBD)对CMOS反相器的影响
作者:
Huey-Ming Huang
;
Ko
;
C.Y.
;
Yang
;
M.L.
;
Liao
;
P.J.
;
Wang
;
J.J.
;
Oates
;
A.
;
Wu
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
invertors;
integrated circuit reliability;
semiconductor device breakdown;
leakage currents;
dielectric thin films;
gate oxide multiple soft breakdown;
CMOS inverter;
noise margin;
off-state leakage current;
gate induced drain leakage;
gate oxide reliability;
higher operation voltage;
circuit design;
23.
Gold dendrite simulation and growth kinetics
机译:
金枝晶模拟和生长动力学
作者:
Kersey
;
J.L.
;
Jr.
;
Blish
;
R.C.
;
II
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
microassembling;
gold;
dendrites;
silver;
tin;
semiconductor device reliability;
ceramic packaging;
semiconductor device packaging;
Au dendrite simulation;
growth kinetics;
Ag;
Sn;
packaged integrated circuits;
inside ceramic packages;
die attach material;
gold plating salts;
hygroscopic component;
laboratory simulations;
current density dependence;
Au;
24.
High current characteristics of copper interconnect under transmission-line pulse (TLP) stress and ESD zapping
机译:
传输线脉冲(TLP)应力和ESD击穿下铜互连的高电流特性
作者:
Lee
;
J.H.
;
Shih
;
J.R.
;
Yu
;
K.F.
;
Wu
;
Y.H.
;
Wu
;
J.Y.
;
Yang
;
J.L.
;
Hou
;
C.S.
;
Ong
;
T.C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit interconnections;
CMOS integrated circuits;
integrated circuit reliability;
electrostatic discharge;
high current characteristics;
Cu interconnect;
transmission-line pulse stress;
ESD zapping;
failure threshold;
25.
Hydrogen-related extrinsic oxide trap generation in thin gate oxide film during negative-bias temperature instability stress
机译:
负偏压温度不稳应力过程中薄栅极氧化膜中氢相关的外在氧化物陷阱的产生
作者:
Jae-Sung Lee
;
Lyding
;
J.W.
;
Hess
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
semiconductor device models;
dielectric thin films;
annealing;
H-related extrinsic oxide trap generation;
thin gate oxide film;
negative-bias temperature instability stress;
standard forming gas process;
recovery;
isotope effect;
interface-reaction;
bulk-reaction;
extrinsic defect;
NBTI degradation;
ultrathin gate oxide;
3 nm;
26.
Influence of charge trapping on AC reliability of high-k dielectrics
机译:
电荷俘获对高k电介质AC可靠性的影响
作者:
Kerber
;
M.
;
Duschl
;
R.
;
Reisinger
;
H.
;
Jakschik
;
S.
;
Schroder
;
U.
;
Hecht
;
T.
;
Kudelka
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
alumina;
DRAM chips;
capacitors;
semiconductor device breakdown;
semiconductor device reliability;
permittivity;
dielectric thin films;
integrated circuit reliability;
charge trapping;
AC reliability;
high-k dielectrics;
Al/sub 2/O/sub 3/ dielectrics;
trench DRAM capacitors;
frequency dependent lifetime reduction;
lower voltage acceleration;
steeper Weibull distributions;
Al/sub 2/O/sub 3/;
27.
Interfacial degradation mechanism of Au-Al bonding in quad flat package
机译:
四方扁平封装中金铝键合界面降解机理
作者:
Jongwoo Park
;
Back-Sung Kim
;
Hyun-Jun Cha
;
Yong-Bum Jo
;
Sang-Chul Shin
;
Gun-Rae Kim
;
June-Kyun Park
;
Man-Young Shin
;
Kyung-Il Ouh
;
Hyun-Goo Jeon
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
gold;
aluminium;
epoxy insulation;
semiconductor device packaging;
semiconductor device reliability;
atomic force microscopy;
scanning electron microscopy;
Auger electron spectra;
surface contamination;
shear strength;
integrated circuit reliability;
integrated circuit packaging;
interfacial degradation mechanism;
Au-Al bonding;
quad flat package;
epoxy encapsulated quad flat package;
high temperature storage test conditions;
AFM;
SEM-EDX;
Auger electron spectrometry;
bonding strength;
wire pull;
ball shear;
depth profile;
preexisting contamination layer;
surface roughness;
plasma cleaning;
epoxy molding;
life time;
ball shear strength;
170 C;
150 C;
Au-Al;
28.
Investigation of programmed charge lateral spread in a two-bit storage nitride flash memory cell by using a charge pumping technique
机译:
使用电荷泵技术研究两位存储氮化物闪存存储单元中的编程电荷横向扩散
作者:
Gu
;
S.H.
;
Wang
;
M.T.
;
Chan
;
C.T.
;
Zous
;
N.K.
;
Yeh
;
C.C.
;
Tsai
;
W.J.
;
Lu
;
T.C.
;
Tahui Wang
;
Ku
;
J.
;
Chih-Yuan Lu
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
integrated circuit reliability;
hot carriers;
programmed charge lateral spread;
two-bit storage nitride flash memory cell;
charge pumping technique;
hot electron program/hot hole erase nitride storage flash cell;
secondly programmed bit;
wider trapped charge distribution;
program/erase cycle number;
29.
Layout design dependence of NBTI for I/O p-MOSFET
机译:
NBTI对于I / O p-MOSFET的布局设计依赖性
作者:
Koldyaev
;
V.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
VLSI;
integrated circuit reliability;
semiconductor device models;
integrated circuit modelling;
integrated circuit testing;
semiconductor device testing;
layout design dependence;
NBTI;
I/O p-MOSFET;
channel lengths;
channel widths;
reliability evaluation;
most vulnerable design;
process optimization;
30.
Lifetime projections and conduction mechanisms for Hafnium based high-k capacitor dielectrics using low thermal budget process
机译:
使用低热预算工艺的Ha基高k电容器电介质的寿命预测和传导机理
作者:
Lee
;
J.H.
;
Kim
;
J.P.
;
Lee
;
J.-H.
;
Kim
;
Y.-S.
;
Lim
;
H.-J.
;
Jung
;
H.-S.
;
Doh
;
S.J.
;
Lee
;
N.-I.
;
Kang
;
H.-K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
hafnium compounds;
alumina;
permittivity;
dielectric thin films;
semiconductor device breakdown;
semiconductor device reliability;
MOS capacitors;
laminates;
lifetime projections;
conduction mechanisms;
high-k capacitor dielectrics;
low thermal budget process;
HfO/sub 2/;
HfO/sub 2/-Al/sub 2/O/sub 3/ laminates;
percolation theory;
elevated operation temperature;
temperature dependent leakage currents;
HfO/sub 2/-Al/sub 2/O/sub 3/;
31.
Local redesign for reliability of CMOS digital circuits under device degradation
机译:
在器件性能下降的情况下进行本地重新设计以提高CMOS数字电路的可靠性
作者:
Xiangdong Xuan
;
Chatterjee
;
A.
;
Singh
;
A.D.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit reliability;
integrated circuit design;
hot carriers;
dielectric thin films;
electric breakdown;
application specific integrated circuits;
integrated circuit modelling;
local redesign for reliability;
CMOS digital circuits;
device degradation;
hot-carrier;
gate oxide wear-out;
electromigration;
modeling;
simulation;
circuit degradation;
IC reliability simulator ARET;
ASIC Reliability Evaluation Tool;
design-for-reliability;
reliability hotspot identification function;
32.
Localized transient charging and it's implication on the hot carrier reliability of HfSiON MOSFETs
机译:
局部瞬态充电及其对HfSiON MOSFET热载流子可靠性的影响
作者:
Lee
;
B.H.
;
Sim
;
J.H.
;
Choi
;
R.
;
Bersuker
;
G.
;
Matthew
;
K.
;
Moumen
;
N.
;
Peterson
;
J.J.
;
Larson
;
L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
titanium compounds;
hafnium compounds;
dielectric thin films;
semiconductor device breakdown;
semiconductor device reliability;
electric breakdown;
localized transient charging;
hot carrier reliability;
HfSiON MOSFETs;
TiN-gated;
poly-gated MOSFETs;
short channel devices;
degradation;
drain corner;
channel length dependence;
time dependent relaxation;
TiN;
HfSiON;
33.
Mechanism for reduced NBTI effect under pulsed bias stress conditions
机译:
脉冲偏置应力条件下降低NBTI效应的机理
作者:
Zhu
;
B.
;
Suehle
;
J.S.
;
Bernstein
;
J.B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
hole traps;
annealing;
interface states;
reduced NBTI effect;
pulsed bias stress conditions;
critical time constants;
hole trapping;
hole detrapping processes;
two time constant model;
pulse repetition frequency;
34.
Modeling and verification of single event transients in deep submicron technologies
机译:
深亚微米技术中单事件瞬态的建模和验证
作者:
Gadlage
;
M.J.
;
Schrimpf
;
R.D.
;
Benedetto
;
J.M.
;
Eaton
;
P.H.
;
Turflinger
;
T.L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
radiation hardening (electronics);
SPICE;
integrated circuit modelling;
integrated circuit reliability;
single event transients;
deep submicron technologies;
device feature sizes;
digital circuits;
smaller parasitics;
single event effects;
ionizing particle;
propagation distance;
35.
Multi-level programming of NOR flash EEPROMs by CHISEL mechanism
机译:
通过CHISEL机制对NOR闪存EEPROM进行多级编程
作者:
Nair
;
D.R.
;
Mahapatra
;
S.
;
Shukuri
;
S.
;
Bude
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
EPROM;
semiconductor device reliability;
multi-level programming;
NOR flash EEPROMs;
CHISEL mechanism;
reliability;
performance;
self-convergence;
drain-disturb performance;
cycling endurance;
optimized bitcell;
36.
NBTI effects of pMOSFETs with different nitrogen dose implantation
机译:
不同氮剂量注入的pMOSFET的NBTI效应
作者:
Lee
;
Y.J.
;
Tang
;
Y.C.
;
Wu
;
M.H.
;
Chao
;
T.S.
;
Ho
;
P.T.
;
Lai
;
D.
;
Yang
;
W.L.
;
Huang
;
T.Y.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
nitrogen;
ion implantation;
semiconductor doping;
interface states;
interface structure;
NBTI effects;
pMOSFETs;
N dose implantation;
source/drain extension;
substrate hot holes;
positive gate bias stressing;
interface states;
37.
Neutron-induced SEU in bulk and SOI SRAMS in terrestrial environment
机译:
地球环境中散装的中子诱发的SEU和SOI SRAMS
作者:
Baggio
;
J.
;
Lambert
;
D.
;
Ferlet-Cavrois
;
V.
;
Dhose
;
C.
;
Hirose
;
K.
;
Saito
;
H.
;
Palau
;
J.M.
;
Saigne
;
F.
;
Sagnes
;
B.
;
Buard
;
N.
;
Carriere
;
T.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon-on-insulator;
SRAM chips;
neutron effects;
radiation hardening (electronics);
integrated circuit reliability;
Monte Carlo methods;
integrated circuit modelling;
neutron-induced SEU;
SOI SRAMS;
terrestrial environment;
Soft Error Rate;
single event upset;
Monte Carlo simulations;
38.
New findings of NBTI in partially depleted SOI transistors with ultra-thin gate dielectrics
机译:
NBTI在具有超薄栅极电介质的部分耗尽SOI晶体管中的新发现
作者:
Zhang
;
J.
;
Marathe
;
A.
;
Taylor
;
K.
;
Zhao
;
E.
;
En
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon-on-insulator;
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
NBTI;
partially depleted SOI transistors;
ultra-thin gate dielectrics;
gate dielectric thickness;
body tied transistors;
degradation;
floating body;
NBTI self-healing;
11 /spl Aring/;
39.
New screen methodology for ultra thin gate oxide technology
机译:
用于超薄栅极氧化物技术的新屏幕方法
作者:
Aaron Wang
;
Wu
;
C.H.
;
Shiue
;
R.Y.
;
Huang
;
H.M.
;
Wu
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device reliability;
integrated circuit reliability;
semiconductor device breakdown;
semiconductor device testing;
integrated circuit testing;
dielectric thin films;
screen methodology;
ultra thin gate oxide technology;
Less Noise Margin dice;
reliability weakness;
high temperature chip probing test;
functional test;
assess reliability risk;
40.
PMOS thin gate oxide recovery upon negative bias temperature stress
机译:
负偏置温度应力下的PMOS薄栅氧化物恢复
作者:
Akbar
;
M.S.
;
Agostinelli
;
M.
;
Rangan
;
S.
;
Shing Lau
;
Castillo
;
C.
;
Sangwoo Pae
;
Kashyap
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit reliability;
invertors;
PMOS thin gate oxide recovery;
negative bias temperature stress;
static stress conditions;
dynamic stress conditions;
thin gate recovery characteristics;
universal behavior;
stress duration;
stress electric fields;
channel lengths;
41.
Reliability and microstructure of lead-free solder die attach interface in silicon power devices
机译:
硅功率器件中无铅焊锡芯片连接界面的可靠性和微观结构
作者:
Huff
;
D.
;
Katsis
;
D.
;
Stinson-Bagby
;
K.
;
Thacker
;
T.
;
Lu
;
G.-Q.
;
van Wyk
;
J.D.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
soldering;
integrated circuit reliability;
semiconductor device reliability;
power semiconductor devices;
power integrated circuits;
elastic deformation;
plastic deformation;
thermal expansion;
creep;
reliability;
microstructure;
lead-free solder die attach interface;
Si power devices;
electronic consumer products;
failure mechanisms;
thermal stress;
sustained high temperature work;
die-attach layer;
power semiconductors;
strain mechanisms;
creep;
elastic deformation;
plastic deformation;
42.
Reliability investigations on a unique direct-tunneling-induced high performance partially-depleted SOI PMOS device
机译:
独特的直接隧道诱导的高性能部分耗尽SOI PMOS器件的可靠性研究
作者:
Shiao-Shien Chen
;
Shiang Huang-Lu
;
Tien-Hao Tang
;
Wei-Tsun Shiau
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
silicon-on-insulator;
integrated circuit reliability;
tunnelling;
dielectric thin films;
CMOS integrated circuits;
reliability investigations;
direct-tunneling-induced high performance partially-depleted SOI PMOS device;
ultra-thin gate-oxide;
direct-tunneling mechanism;
floating-body potential;
floating-body effect;
43.
Reliability model and implementation for EEPROM emulation using flash memory
机译:
使用闪存的EEPROM仿真的可靠性模型和实现
作者:
Chen He
;
Kuhn
;
P.
;
Jew
;
T.
;
Niset
;
M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
EPROM;
flash memories;
semiconductor device reliability;
semiconductor device models;
integrated circuit modelling;
integrated circuit reliability;
reliability model;
implementation;
EEPROM emulation using flash memory;
block-erasable Flash memories;
embedded applications;
nonvolatile storage;
word granularity;
44.
Reliability of silicon nitride dielectric-based metal-insulator-metal capacitors
机译:
氮化硅介电基金属-绝缘体-金属电容器的可靠性
作者:
Remmell
;
T.
;
Ramprasad
;
R.
;
Roberts
;
D.
;
Raymond
;
M.
;
Martin
;
M.
;
Qualls
;
D.
;
Luckowski
;
E.
;
Braithwaite
;
S.
;
Miller
;
M.
;
Walls
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MIM devices;
capacitors;
electric breakdown;
reliability;
Si/sub 3/N/sub 4/ dielectric-based metal-insulator-metal capacitors;
TDDB testing;
MIM capacitors;
high quality lifetime data;
very large Weibull betas;
consistency;
wafer-scale tests;
package level tests;
45.
Sidewall damage induced by FIB milling during TEM sample preparation
机译:
TEM样品制备过程中FIB铣削引起的侧壁损坏
作者:
Qiang Gao
;
Zhang
;
M.
;
Chorng Niou
;
Ming Li
;
Chien
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
specimen preparation;
transmission electron microscopy;
focused ion beam technology;
electron beam effects;
integrated circuit technology;
integrated circuit testing;
integrated circuit reliability;
sidewall damage;
FIB milling;
TEM sample preparation;
physical thickness;
damage layer thickness;
amorphous layer thickness;
sample tilt angle;
30 keV;
10 keV;
23 nm;
11 nm;
30 to 1000 pA;
46.
Soft breakdown effects on MOS switch and passive mixer
机译:
软击穿对MOS开关和无源混频器的影响
作者:
Sadat
;
A.
;
Yi Liu
;
Jiann Yuan
;
Huikai Xie
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
field effect transistor switches;
mixers (circuits);
semiconductor device breakdown;
semiconductor device reliability;
capacitor switching;
electric breakdown;
dielectric thin films;
soft breakdown effects;
MOS switch;
passive mixer;
time constant;
0.16 micron;
47.
Standby current prediction model for microprocessors reliability risk assessment
机译:
用于微处理器可靠性风险评估的备用电流预测模型
作者:
Lisenker
;
B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit modelling;
ULSI;
integrated circuit reliability;
current distribution;
standby current prediction model;
microprocessors reliability risk assessment;
ULSI circuit's standby current prediction;
0.13 micron;
48.
Statistical modeling for post-cycling data retention of split-gate flash memories
机译:
统计模型,用于分离门闪存的循环后数据保留
作者:
Ling-Chang Hu
;
An-Chi Kang
;
I-Tai Liu
;
Yao-Feng Lin
;
Wu
;
K.
;
Ya-Chin King
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
flash memories;
semiconductor device reliability;
semiconductor device models;
statistical modeling;
post-cycling data retention;
split-gate flash memories;
floating-gate potential;
measured bit-cell-current data;
floating gate charge leakage mechanism;
multiple leakage mechanisms;
stack-gate flash memories;
post-cycling data retention failure bits;
49.
Stress induced degradation of 90nm node interconnects
机译:
应力导致90nm节点互连的退化
作者:
Federspiel
;
X.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit interconnections;
integrated circuit reliability;
voids (solid);
thermal expansion;
annealing;
stress induced degradation;
90nm node interconnects;
thermal expansion mismatch;
Cu;
Si substrate;
dielectrics;
integrity;
lifetime prediction;
resistance evolution;
stress induced voiding;
isothermal annealing;
50.
Structure of the breakdown spot during progressive breakdown of ultra-thin gate oxides
机译:
超薄栅极氧化物逐步击穿过程中击穿点的结构
作者:
Palumbo
;
F.
;
Lombardo
;
S.
;
Pey
;
K.L.
;
Fang
;
L.J.
;
Tung
;
C.H.
;
Lin
;
W.H.
;
Radhakrishnan
;
M.K.
;
Falci
;
G.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
semiconductor device breakdown;
integrated circuit reliability;
electric breakdown;
leakage currents;
breakdown spot;
progressive breakdown;
ultra-thin gate oxides;
CMOS circuits;
gate leak-age levels;
circuit operation;
gate oxide conductance;
50 kohm;
51.
Technology scaling of critical charges in storage circuits based on cross-coupled inverter-pairs
机译:
基于交叉耦合逆变器对的存储电路中关键电荷的技术缩放
作者:
Heijmen
;
T.
;
Kruseman
;
B.
;
van Veen
;
R.
;
Meijer
;
M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
integrated circuit reliability;
radiation hardening (electronics);
invertors;
flip-flops;
semiconductor storage;
integrated circuit modelling;
technology scaling;
critical charges;
storage circuits;
cross-coupled inverter-pairs;
soft error rate;
reliability issue;
deep-submicron IC design;
SRAM cells;
flip-flops;
circuit simulation;
accelerated SER measurement;
52.
Test structures and DRIE topography for bulk silicon MEMS devices
机译:
大块硅MEMS器件的测试结构和DRIE形貌
作者:
Yong Ruan
;
Dacheng Zhang
;
Xuefeng He
;
Yangyuan Wang
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
micromechanical devices;
silicon;
elemental semiconductors;
semiconductor device reliability;
adhesive bonding;
semiconductor device testing;
sputter etching;
test structures;
DRIE topography;
bulk Si MEMS devices;
bonding strength;
controlling deep reactive ion etching;
high aspect ratio Si structures;
Si to glass anodic bonding;
Si;
53.
The challenge to record correct fast WLR monitoring data from productive wafers and to set reasonable limits
机译:
记录来自生产晶圆的正确的快速WLR监测数据并设置合理的极限的挑战
作者:
Martin
;
A.
;
Fazekas
;
J.
;
Pietsch
;
A.
;
Muth
;
W.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit reliability;
integrated circuit testing;
logic circuits;
semiconductor storage;
stress measurement;
record correct fast WLR monitoring data;
productive wafers;
set reasonable limits;
strongly time restricted;
reliability results;
stress method development;
reliability risks;
stress measurement;
restricted test structure area;
correct raw data screening;
lifetime/product target quantification;
memory processes;
logic processes;
0.09 to 1 micron;
54.
The impact of process optimization on planar THz-Schottky device reliability
机译:
工艺优化对平面太赫兹-肖特基器件可靠性的影响
作者:
Mottet
;
B.
;
Sydlo
;
C.
;
Kogel
;
B.
;
de Robillard
;
Q.
;
Cojocari
;
O.
;
Hartnagel
;
H.L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
transmission electron microscopy;
process monitoring;
Schottky effect;
Schottky gate field effect transistors;
space vehicle electronics;
semiconductor device reliability;
electric breakdown;
process optimization;
planar THz-Schottky device reliability;
technological complexity;
space-application quality standards;
process control;
reliability improvement;
degradation mechanisms;
applied pulses;
TEM;
55.
The influence of surface fluctuations on early failures in single-damascene Cu wires: A Weakest Link Approximation analysis
机译:
表面波动对单镶嵌铜线早期失效的影响:最弱环节近似分析
作者:
Wang
;
H.
;
Bruynseraede
;
C.
;
Maex
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit interconnections;
chemical mechanical polishing;
integrated circuit reliability;
surface roughness;
electromigration;
surface fluctuations;
early failures;
single-damascene Cu wires;
Weakest Link Approximation analysis;
CMP slurries;
surface defects;
electromigration lifetime;
multimodal failure distribution;
activation energy;
high early-failure rate;
poor reliability performance;
0.74 eV;
56.
The last trap that form the percolation path - the stress voltage effect
机译:
形成渗流路径的最后一个陷阱-应力电压效应
作者:
Cheung
;
K.P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
dielectric thin films;
leakage currents;
percolation;
percolation path;
stress voltage effect;
soft breakdown;
neutral trap density;
enhanced leakage;
anomalous temperature effect;
thin oxide breakdown;
permanent breakdown;
57.
Thermal degradation of DRAM retention time: Characterization and improving techniques
机译:
DRAM保留时间的热降解:表征和改进技术
作者:
Kim
;
Y.I.
;
Yang
;
K.H.
;
Lee
;
W.S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
DRAM chips;
integrated circuit reliability;
thermal stresses;
annealing;
ion implantation;
fluorine;
passivation;
thermal degradation;
DRAM retention time;
reliability problem;
thermal stress;
hydrogen annealing condition;
fluorine implantation;
58.
Thermal runaway avoidance during burn-in
机译:
避免老化过程中的热失控
作者:
Vassighi
;
A.
;
Semenov
;
O.
;
Sachdev
;
M.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
thermal resistance;
integrated circuit reliability;
semiconductor device breakdown;
semiconductor device reliability;
thermal stresses;
thermal runaway avoidance;
burn-in;
deep sub-micron technologies;
standby leakage current;
high performance processors;
increased junction temperature;
59.
Thermomechanical behavior and reliability of Au/Si MEMS structures
机译:
Au / Si MEMS结构的热力学行为和可靠性
作者:
Miller
;
D.
;
Herrmann
;
C.
;
Spark
;
K.
;
Finch
;
D.
;
George
;
S.
;
Stoldt
;
C.
;
Gall
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
atomic layer deposition;
thermomechanical treatment;
gold;
silicon;
micromechanical devices;
semiconductor device reliability;
semiconductor-metal boundaries;
elemental semiconductors;
thermomechanical behavior;
reliability;
Au/Si MEMS structures;
micromechanical structures;
nanometer-thick atomic-layer deposition coatings;
Au-Si;
60.
Threshold energy of neutron-induced single event upset as a critical factor
机译:
中子诱发单事件不安的阈值能量是一个关键因素
作者:
Yahagi
;
Y.
;
Ibe
;
E.
;
Takahashi
;
Y.
;
Saito
;
Y.
;
Eto
;
A.
;
Sato
;
M.
;
Kameyama
;
H.
;
Hidaka
;
M.
;
Terunuma
;
K.
;
Nunomiya
;
T.
;
Nakamura
;
T.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
neutron effects;
radiation hardening (electronics);
semiconductor device breakdown;
semiconductor device reliability;
integrated circuit reliability;
threshold energy;
neutron-induced single event upset;
critical factor;
semiconductor devices scaling;
soft error rate;
61.
Void and extrusion induced failure of submicron multilevel interconnects
机译:
空隙和挤压引起的亚微米多层互连故障
作者:
Yong-Bum Jo
;
Jongwoo Park
;
Chul-Hee Jeon
;
Kyung-Il Ouh
;
Hyun-Goo Jeon
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
aluminium;
integrated circuit interconnections;
integrated circuit reliability;
transmission electron microscopy;
X-ray chemical analysis;
integrated circuit testing;
electric breakdown;
voids (solid);
extrusion induced failure;
void induced failure;
submicron multilevel interconnects;
failure mechanism;
quad flat package;
high temperature operating life. test conditions;
TEM-EDX;
Al metallization;
voltage imposed metal line;
ground imposed metal line;
layout;
Al;
62.
RF HCI testing methodology and lifetime model establishment
机译:
射频HCI测试方法和寿命模型建立
作者:
Wee Loon Ng
;
Toledo
;
N.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit reliability;
integrated circuit testing;
hot carriers;
RF HCI testing methodology;
lifetime model establishment;
hot carrier injection;
DC parameter degradation;
RF parameter;
0.18 mm;
63.
SRAM subthreshold current recovery after unipolar AC stressing
机译:
单极性AC应力后SRAM亚阈值电流恢复
作者:
Kumar
;
S.
;
Knowlton
;
W.B.
;
Kasichainula
;
S.
;
Payan
;
C.
;
Thupil
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
SRAM chips;
semiconductor device models;
semiconductor device breakdown;
leakage currents;
semiconductor device reliability;
electron traps;
Poole-Frenkel effect;
SRAM subthreshold current recovery;
unipolar AC stressing;
standby current;
inverter pair;
stress cycling;
leakage;
trapped charge model;
Poole-Frenkel enhanced emission;
cell phones;
electronic industry;
charge trapping;
charge de-trapping;
64.
A PMOSFET ESD failure caused by localized charge injection
机译:
由局部电荷注入引起的PMOSFET ESD故障
作者:
Jung-Hoon Chun
;
Duvvury
;
C.
;
Boselli
;
G.
;
Kunz
;
H.
;
Dutton
;
R.W.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
failure analysis;
electrostatic discharge;
charge injection;
PMOSFET ESD failure;
localized charge injection;
failure mechanism;
I/O structures;
localized turn-on;
parasitic pnp transistor;
critical layout parameters;
65.
Acceleration factors and mechanistic study of progressive breakdown in small area ultra-thin gate oxides
机译:
小面积超薄栅氧化物加速破坏的机理及机理研究
作者:
Suehle
;
J.S.
;
Zhu
;
B.
;
Che
;
Y.
;
Bernstein
;
J.B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
tunnelling;
CMOS integrated circuits;
integrated circuit reliability;
acceleration factors;
progressive breakdown;
small area ultra-thin gate oxides;
conducting filament;
hard breakdown;
tunneling current;
66.
Analysis of phase-transformation dynamics and estimation of amorphous-chalcogenide fraction in phase-change memories
机译:
相变存储器的相变动力学分析和非晶硫族化物分数的估计
作者:
Itri
;
A.
;
Ielmini
;
D.
;
Lacaitat
;
A.L.
;
Pirovano
;
A.
;
Pellizzer
;
E.
;
Bez
;
R.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor storage;
solid-state phase transformations;
electrical conductivity;
phase-transformation dynamics;
amorphous - chalcogenide fraction;
phase-change memories;
electrical characterization;
compact simulation;
memory I-V;
stacked distribution;
67.
Broad energy distribution of NBTI-induced interface states in p-MOSFETs with ultra-thin nitrided oxide
机译:
具有超薄氮化物的p-MOSFET中NBTI诱导的界面态的宽能量分布
作者:
Stathis
;
J.H.
;
LaRosa
;
G.
;
Chou
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
interface states;
dangling bonds;
energy gap;
broad energy distribution;
NBTI-induced interface states;
p-MOSFETs;
ultra-thin nitrided oxide;
conduction band edge;
states near mid-gap;
negative-bias-temperature instability;
pure SiO/sub 2/;
bulk neutral traps;
SiO/sub 2/;
68.
Characterization and reliability of TaN thin film resistors
机译:
TaN薄膜电阻器的特性和可靠性
作者:
Lee
;
T.
;
Watson
;
K.
;
Fen Chen
;
Gill
;
J.
;
Harmon
;
D.
;
Sullivan
;
T.
;
Baozhen Li
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
tantalum compounds;
CMOS integrated circuits;
resistors;
copper;
integrated circuit interconnections;
TaN thin film resistors;
RFIC applications;
CMOS designs;
Cu interconnects;
high precision resistor;
temperature coefficient of resistance characteristics;
voltage ramp stress;
critical current;
constant voltage stress;
reliability evaluation;
reliability degradation model;
joule heating effects;
TaN;
69.
Effects of overlayers on electromigration reliability improvement for Cu/low K interconnects
机译:
覆盖层对改善Cu /低K互连电迁移可靠性的影响
作者:
Hu
;
C.-K.
;
Canaperi
;
D.
;
Chen
;
S.T.
;
Gignac
;
L.M.
;
Herbst
;
B.
;
Kaldor
;
S.
;
Krishnan
;
M.
;
Liniger
;
E.
;
Rath
;
D.L.
;
Restaino
;
D.
;
Rosenberg
;
R.
;
Rubino
;
J.
;
Seo
;
S.-C.
;
Simon
;
A.
;
Smith
;
S.
;
Tseng
;
W.-T.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
electromigration;
cobalt alloys;
tungsten alloys;
phosphorus alloys;
tantalum;
tantalum alloys;
silicon compounds;
integrated circuit reliability;
overlayers;
electromigration reliability improvement;
Cu/low K interconnects;
Cu Damascene lines;
interface diffusion;
electromigration lifetime;
2.0 eV;
1.4 eV;
0.85 to 1.1 eV;
Cu;
CoWP;
Ta-TaN;
SiN/sub x/;
SiC/sub x/N/sub y/H/sub z/;
70.
Impact of the barrier/dielectric interface quality on reliability of Cu porous-low-k interconnects
机译:
势垒/介电界面质量对铜多孔低k互连可靠性的影响
作者:
Tokei
;
Z.
;
Sutcliffe
;
V.
;
Demuynck
;
S.
;
Iacopi
;
F.
;
Roussel
;
P.
;
Beyer
;
G.P.
;
Hoofman
;
R.J.O.M.
;
Maex
;
K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
copper;
integrated circuit metallisation;
integrated circuit interconnections;
dielectric thin films;
diffusion barriers;
integrated circuit reliability;
semiconductor device reliability;
barrier/dielectric interface quality;
reliability;
Cu porous-low-k interconnects;
barrier integrity;
fully dense barrier;
dielectric properties;
71.
Investigation of circuit-level oxide degradation and its effect on CMOS inverter operation and MOSFET characteristics
机译:
研究电路级氧化物降解及其对CMOS逆变器操作和MOSFET特性的影响
作者:
Cheek
;
B.J.
;
Stutzke
;
N.
;
Kumar
;
S.
;
Baker
;
R.J.
;
Moll
;
A.J.
;
Knowlton
;
W.B.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
leakage currents;
semiconductor device reliability;
invertors;
CMOS integrated circuits;
circuit-level oxide degradation;
CMOS inverter operation;
MOSFET characteristics;
MOSFET behavior;
PMOSFET;
NMOSFET;
switch matrix;
ramped voltage stress;
circuit model;
voltage transfer curves;
off-state leakage currents;
increased gate leakage currents;
100 mV;
260 mV;
660 MV;
72.
Thermal cycle reliability of stacked via structures with copper metallization and an organic low-k dielectric
机译:
具有铜金属化和有机低k电介质的堆叠通孔结构的热循环可靠性
作者:
Filippi
;
R.G.
;
McGrath
;
J.F.
;
Shaw
;
T.M.
;
Murray
;
C.E.
;
Rathore
;
H.S.
;
McLaughlin
;
P.S.
;
McGahay
;
V.
;
Nicholson
;
L.
;
Wang
;
P.-C.
;
Lloyd
;
J.R.
;
Lane
;
M.
;
Rosenberg
;
R.
;
Liu
;
X.
;
Wang
;
Y.-Y.
;
Landers
;
W.
;
Spooner
;
T.
;
Demarest
;
J.J.
;
Engel
;
B.H.
;
Gill
;
J.
;
Goth
;
G.
;
Barth
;
E.
;
Biery
;
G.
;
Davis
;
C.R.
;
Wachnik
;
R.A.
;
Goldblatt
;
R.
;
Ivers
;
T.
;
Swinton
;
A.
;
Barile
;
C.
;
Aitken
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device reliability;
semiconductor device metallisation;
statistical analysis;
failure analysis;
copper;
fatigue cracks;
thermal cycle reliability;
stacked via structures;
Cu metallization;
organic low-k dielectric;
Cu Dual Damascene metallization;
failure analysis;
reliability;
thermal cycle statistical behavior;
bimodal lognormal failure distribution;
Cu;
73.
Two concerns about NBTI issue: gate dielectric scaling and increasing gate current
机译:
有关NBTI问题的两个问题:栅极电介质缩放和增加栅极电流
作者:
Tsujikawa
;
S.
;
Akamatsu
;
Y.
;
Umeda
;
H.
;
Yugami
;
J.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
hot carriers;
NBTI issue;
gate dielectric scaling;
increasing gate current;
65-nm-node;
thinning gate dielectric;
gate electron current;
hydrogen release reaction;
voltage-accelerated conditions;
diffusion-controlled model;
65 nm;
74.
A new experimental method for evaluating electric field at the junctions of DRAM cell transistors and the effect of electric field strength on the retention characteristics of DRAM
机译:
一种评估DRAM单元晶体管结处电场的新实验方法以及电场强度对DRAM保持特性的影响
作者:
Mori
;
Y.
;
Takeda
;
Y.
;
Kimura
;
S.
;
Ohyu
;
K.
;
Uchiyama
;
H.
;
Yamada
;
R.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
leakage currents;
DRAM chips;
integrated circuit reliability;
integrated circuit design;
electric field evaluation;
DRAM cell transistors;
electric field strength;
retention characteristics;
test element group;
detailed simulation;
bias dependence;
junction leakage currents;
storage-node;
Substrate bias;
word-line bias;
75.
Enhanced hot-electron performance of strained Si NMOS over unstrained Si
机译:
应变硅NMOS的热电子性能优于未应变硅
作者:
Kelly
;
D.Q.
;
Onsongo
;
D.
;
Dey
;
S.
;
Wise
;
R.
;
Cleavelin
;
R.
;
Banerjee
;
S.K.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
CMOS integrated circuits;
MOSFET;
silicon;
elemental semiconductors;
Ge-Si alloys;
conduction bands;
effective mass;
interface states;
hot carriers;
integrated circuit reliability;
semiconductor device reliability;
electron mobility;
enhanced hot-electron performance;
strained Si NMOS;
unstrained Si;
strained Si/relaxed Si/sub 1-x/Ge/sub x/ structures;
CMOS performance;
six-fold degeneracy;
conduction band minimum;
electron mobility;
in-plane effective mass;
reduced inter-valley scattering;
Si-SiGe;
76.
Impact of stress induced leakage current on power-consumption in ultra-thin gate oxides
机译:
应力引起的泄漏电流对超薄栅氧化物功耗的影响
作者:
Lai
;
W.
;
Sune
;
J.
;
Wu
;
E.
;
Nowak
;
E.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
power consumption;
semiconductor device reliability;
percolation;
CMOS integrated circuits;
integrated circuit reliability;
semiconductor device breakdown;
leakage currents;
stress induced leakage current;
power-consumption;
ultra-thin gate oxides;
chip reliability;
lognormal distribution;
Weibull distribution;
77.
The influence of deep trench and substrate resistance on the latchup robustness in a BiCMOS silicon germanium technology
机译:
BiCMOS硅锗技术中深沟槽和衬底电阻对闩锁鲁棒性的影响
作者:
Voldman
;
S.H.
;
Watson
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
Ge-Si alloys;
BiCMOS integrated circuits;
heterojunction bipolar transistors;
flip-flops;
BiCMOS digital integrated circuits;
electrostatic discharge;
deep trench;
substrate resistance;
latchup robustness;
BiCMOS SiGeC HBT technology;
deep trench guard ring structures;
trigger contours;
linear-logarithm space;
0.13 micron;
200 GHz;
SiGeC;
78.
Positive photon discrimination for ultra low voltage IC analysis
机译:
正光子识别技术可用于超低压IC分析
作者:
Desplats
;
R.
;
Remmach
;
M.
;
Faggion
;
G.
;
Beaudoin
;
F.
;
Perdu
;
P.
;
Leibowitz
;
M.
;
Sanchez
;
K.
;
Guilaume
;
S.
;
Lundquist
;
T.
;
Lewis
;
D.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
integrated circuit testing;
integrated circuit reliability;
ultra low voltage IC analysis;
positive photon discrimination;
IC Debug;
Time Resolved Photon Emission;
acquisition times;
rapidly extracting switching events;
background noise;
acquisition time;
79.
Reliability properties of low voltage PZT ferroelectric capacitors and arrays
机译:
低压PZT铁电电容器和阵列的可靠性
作者:
Rodriguez
;
J.
;
Remack
;
K.
;
Boku
;
K.
;
Udayakumar
;
K.R.
;
Aggarwal
;
S.
;
Summerfelt
;
S.
;
Moise
;
T.
;
McAdams
;
H.
;
McPherson
;
J.
;
Bailey
;
R.
;
Depner
;
M.
;
Fox
;
G.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
lead compounds;
ferroelectric materials;
ferroelectric storage;
ferroelectric thin films;
MOCVD coatings;
ferroelectric capacitors;
CMOS integrated circuits;
logic circuits;
reliability;
reliability properties;
low voltage PZT ferroelectric capacitors;
low voltage PZT ferroelectric arrays;
high-density ferroelectric memory;
70nm thick MOCVD PZT process technology;
p9lycrystalline texture;
electrical properties;
data retention loss;
imprint effect;
stand alone test capacitors;
memory arrays;
fully packaged memory arrays;
70 nm;
1.5 eV;
130 nm;
PZT;
PbZrO3TiO3;
80.
The influence of heavily doped buried layer implants on electrostatic discharge (ESD), latchup, and a silicon germanium heterojunction bipolar transistor in a BiCMOS SiGe technology
机译:
BiCMOS SiGe技术中重掺杂掩埋层注入对静电放电(ESD),闩锁和硅锗异质结双极晶体管的影响
作者:
Voldman
;
S.
;
Lanzerotti
;
L.
;
Morris
;
W.
;
Rubin
;
L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
Ge-Si alloys;
BiCMOS integrated circuits;
electrostatic discharge;
heterojunction bipolar transistors;
buried layers;
heavily doped buried layer implants;
electrostatic discharge;
ESD;
BiCMOS SiGe technology;
heavily doped buried layers;
electrostatic discharge protection;
heavily doped buried layers implants;
latchup;
heterojunction bipolar transistor;
SiGe;
81.
A comprehensive framework for predictive modeling of negative bias temperature instability
机译:
负偏置温度不稳定性预测模型的综合框架
作者:
Chakravarthi
;
S.
;
Krishnan
;
A.
;
Reddy
;
V.
;
Machala
;
C.F.
;
Krishnan
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device models;
integrated circuit modelling;
integrated circuit reliability;
semiconductor device reliability;
electric breakdown;
grain boundaries;
getters;
CMOS integrated circuits;
comprehensive framework;
predictive modeling;
negative bias temperature instability;
reaction/diffusion based modeling framework;
interface state generation;
diffusion;
H gettering sites;
unsaturated grain boundaries;
stress temperatures;
voltages;
82.
Effect of vacuum on high-temperature degradation of gold/aluminum wire bonds in PEMs
机译:
真空对PEM中金/铝丝键合高温降解的影响
作者:
Teverovsky
;
A.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
gold;
aluminium;
lead bonding;
semiconductor device packaging;
plastic packaging;
oxidation;
semiconductor device reliability;
semiconductor device breakdown;
high-temperature degradation;
Au/Al wire bonds;
plastic encapsulated microcircuits;
high-temperature storage;
convection air chambers;
vacuum chamber;
wire bond contact resistances;
mass losses;
molding compounds;
failure rates;
glass transition temperature;
Au-Al;
83.
Off-state mode TDDB reliability for ultra-thin gate oxides: New methodology and the impact of oxide thickness scaling
机译:
超薄栅极氧化物的断态模式TDDB可靠性:新方法和氧化物厚度缩放的影响
作者:
Wu
;
E.
;
Nowak
;
E.
;
Wing Lai
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
dielectric thin films;
MOSFET;
semiconductor device breakdown;
semiconductor device reliability;
off-state mode TDDB reliability;
oxide thickness scaling;
voltage-splitting technique;
off-state mode;
ultra-thin gate oxides;
high drain-bias stress;
84.
Convergence and interaction of BEOL and BE reliability methodology
机译:
BEOL和BE可靠性方法论的融合与互动
作者:
Rzepka
;
S.
;
Lepper
;
M.
;
Bottcher
;
M.
;
Bauer
;
R.
;
Weber
;
S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
关键词:
semiconductor device breakdown;
semiconductor device reliability;
semiconductor device models;
integrated circuit reliability;
BE reliability methodology;
BEOL reliability methodology;
interaction;
convergence;
high volume microelectronic fabrication;
increasing convergence;
stronger interaction;
back end of line;
back end processes;
85.
Inside front cover Blank
机译:
内封面空白
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
86.
2004 IEEE International Reliability Physics Symposium Proceedings 42nd Annual - Title
机译:
2004年IEEE国际可靠性物理研讨会论文集第42届年度-标题
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
87.
Copyright
机译:
版权
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
88.
Preface
机译:
前言
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
89.
2005 International Reliability Physics Symposium April 17-21,2005
机译:
2005 International Reliability Physics Symposium 2005年4月17日至21日
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
90.
Session/Poster paper # to page # cross-reference
机译:
会议/海报纸#到页面#交叉引用
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
91.
Best Paper Award - A new reliability model for post-cycling charge retention of flash memories
机译:
最佳论文奖-闪存循环后电荷保持的新可靠性模型
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
92.
Outstanding Paper Award - Impact of negative bias temperature instability on digital circuit reliability
机译:
杰出论文奖-负偏置温度不稳定性对数字电路可靠性的影响
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
93.
2005 IEEE International Reliability Physics Symposium - Call for papers and Call for posters
机译:
2005 IEEE国际可靠性物理研讨会-征集论文和招募海报
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
94.
Reliability evaluation and comparison of Class-E and Class-A power amplifiers with 0.18 μm CMOS technology
机译:
采用0.18μmCMOS技术的E类和A类功率放大器的可靠性评估和比较
作者:
Wei-Cheng Lin
;
Long-Jei Du
;
Ya-Chin King
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
95.
Direct determination of interface and bulk traps in stacked HfO
2
dielectrics using charge pumping method
机译:
电荷泵浦法直接测定堆叠HfO
2 sub>电介质中的界面陷阱和体陷阱
作者:
Hou T.H.
;
Wang M.F.
;
Mai K.L.
;
Lin Y.M.
;
Yang M.H.
;
Yao L.G.
;
Jin Y.
;
Chen S.C.
;
Liang M.S.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
96.
Polarity dependence of charge trapping in poly-silicon gate HfO
2
MOSFETs
机译:
多晶硅栅HfO
2 sub> MOSFET中电荷俘获的极性依赖性
作者:
Bu M.
;
Wang X.W.
;
Guo D.C.
;
Song L.Y.
;
Ma T.P.
;
Tseng H.
;
Tobin P.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
97.
Effects of gate electrodes and barrier heights on the breakdown characteristics and Weibull slopes of HfO
2
MOS devices
机译:
栅电极和势垒高度对HfO
2 sub> MOS器件的击穿特性和威布尔斜率的影响
作者:
Kim Y.H.
;
Choi R.
;
Jha R.
;
Lee J.H.
;
Misra V.
;
Lee J.C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
98.
Frequency dependent dynamic charge trapping in HfO
2
and threshold voltage instability in MOSFETs
机译:
HfO
2 sub>中与频率有关的动态电荷陷阱和MOSFET中的阈值电压不稳定性
作者:
Shen C.
;
Yu H.Y.
;
Wang X.P.
;
Li M.-F.
;
Yeo Y.-C.
;
Chan D.S.H.
;
Bera K.L.
;
Kwong D.L.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
99.
Back covers Blanks
机译:
封底空白
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
100.
Dynamic positive bias temperature instability characteristics of ultra-thin HfO
2
NMOSFET
机译:
超薄HfO
2 sub> NMOSFET的动态正偏置温度不稳定性
作者:
Se Jong Rhee
;
Young Hee Kim
;
Chang Yong Kang
;
Chang Seok Kang
;
Hag-Ju Cho
;
Rino Choi
;
Chang Hwan Choi
;
Akbar M.S.
;
Lee J.C.
会议名称:
《Reliability Physics Symposium Proceedings, 2004. 42nd Annual》
|
2004年
意见反馈
回到顶部
回到首页