掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Photomask Technology; 20070918-21; Monterey,CA(US)
Conference on Photomask Technology; 20070918-21; Monterey,CA(US)
召开年:
2007
召开地:
Monterey,CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Optimizing defect inspection strategy through the use of design aware database control layers
机译:
通过使用具有设计意识的数据库控制层来优化缺陷检查策略
作者:
Dvori Stoler
;
Wayne Ruch
;
Weimin Ma
;
Swapnajit Chakravarty
;
Steven Liu
;
Ray Morgan
;
John Valadez
;
Bill Moore
;
John Burns
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
MDP;
CATS;
MRC;
DRC;
verification;
automation;
mask inspection;
high resolution;
sensitivity control layer (SCL);
data base;
MEEf;
2.
New Method of Identification of False or Nuisance Defects Using the Defect Imaging System, DIS-05
机译:
使用缺陷成像系统DIS-05识别错误或有害缺陷的新方法
作者:
Hao Zhang
;
Katsuyuki Takahashi
;
Hideaki Bo
;
Yasunobu Kitayama
;
Akio Sugano
;
Kenichi Kobayashi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
defect review;
CD-SEM;
mask inspection;
3.
Automating Defect Disposition in Fabs and Maskshops
机译:
在Fab和Maskshops中自动处理缺陷
作者:
Peter Fiekowsky
;
S. Narukawa
;
T. Kawashima
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
mask inspection;
disposition;
defect specs;
simulation;
4.
Improving Inspectability with KLA-Tencor TeraScan Thin Line De-sense
机译:
通过KLA-Tencor TeraScan细线去检测提高可检查性
作者:
Chunlin Chen
;
David Kim
;
KiHun Parkv
;
Nam Wook Kim
;
Sang Hoon Han
;
Jin Hyung Park
;
Dong Hoon Chung
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
thin line de-sense;
SRAF;
inspectability;
usable sensitivity;
5.
Implementation of an efficient defect classification method in photomask mass production
机译:
一种有效的缺陷分类方法在光掩模批量生产中的实现
作者:
Cathy Liu
;
Crystal Wang
;
Skin Zhang
;
Eric Guo
;
Steven Liu
;
Eric Haodong Lu
;
Dongsheng Fan
;
Den Wang
;
Weiming Ma
会议名称:
《》
|
2007年
关键词:
defect disposition;
terascan;
reviewsmart;
reticle inspection;
defect review;
mask production;
6.
To improve Reticle Re-Qualification process and reduce reticle recleaning frequency using efficient defect classification and defect tracking
机译:
通过有效的缺陷分类和缺陷跟踪来改进光罩重新认证过程并降低光罩重新清洁频率
作者:
Eric Haodong Lu
;
Jim Wang
;
Raj Badoni
;
Ellison Chen
;
Weimin Ma
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
reticle re-qualification;
haze defect classification;
reviewsmart;
show change of defects;
7.
Automatic OPC Repair Flow: Optimized Implementation of the Repair Recipe
机译:
自动OPC维修流程:维修配方的优化实施
作者:
Mohamed Bahnas
;
Mohamed Al-Imam
;
James Word
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC;
virtual manufacturing;
RET;
OPC repair flow;
8.
Database and Data Analysis Strategy for Multi-Designer Testchips
机译:
多设计师测试芯片的数据库和数据分析策略
作者:
Wojtek J. Poppe
;
Patrick Au
;
Darshana Jayasuriya
;
rew Neureuther
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
9.
Pellicle dimensions for high NA photomasks
机译:
高NA光掩模的膜片尺寸
作者:
Frank Erber
;
Thomas Schulmeyer
;
Christian Holfeld
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
pellicle;
inspection;
shadowing;
pellicle height;
pellicle size;
high NA lithography;
193nm lithography;
10.
Determine OPC Target Specifications Electrically instead of Geometrically
机译:
以电气方式而不是几何方式确定OPC目标规格
作者:
Qiaolin(Charlie) Zhang
;
Paul van Adrichem
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC;
OPC target specification;
lithography;
corner rounding;
channel length;
nonrectangular transistor;
narrow gate effect;
HSPICE;
11.
Application of modified Jog-fill DRC rule on LFD OPC flow
机译:
改进的Jog-fill DRC规则在LFD OPC流上的应用
作者:
Young-Mi Kim
;
Sang-Uk Lee
;
Jea-Hyun Kang
;
Jea-Hee Kim
;
Kee-Ho Kim
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC;
OPC-friendly pattern;
jog-fill;
DRC rule;
12.
Evaluation of attenuated PSM photomask blanks with TF11 chrome and FEP-171 resist on a 248 nm DUV laser pattern generator
机译:
在248 nm DUV激光图案发生器上评估带有TF11铬和FEP-171抗蚀剂的PSM光掩模的衰减空白
作者:
Kezhao Xing
;
Charles Bjoernborg
;
Henrik Karlsson
;
Adisa Paulsson
;
Anna Rosendahl
;
Peter Beiming
;
Jukka Vedenpaeae
;
Jonathan Walford
;
Tom Newman
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
TFl1;
FEP-171;
DUV laser;
sigma7500;
photomask;
laser pattern generator;
13.
Bimetallic Thermal Resists Potential for Double Exposure Immersion Lithography and Grayscale Photomasks
机译:
双金属热浸光刻和灰度光掩膜的双金属热阻潜力
作者:
James M. Dykes
;
Calin Plesa
;
Chinheng Choo
;
Glenn H. Chapman
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
bimetallic thin-film;
grayscale;
photomask;
thermal resist;
direct-write;
interference lithography;
immersion lithography;
transparency;
14.
Acid Diffusion Length Limitation for 45 nm Node Attenuated and Chromeless Phase Shift Mask
机译:
45 nm节点衰减和无铬相移掩模的酸扩散长度限制
作者:
Young-Min Kang
;
Seung-Wook Park
;
Hye-Keun Oh
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
diffusion length;
post-exposure bake;
attenuated phase shift mask;
chromeless phase lithography;
15.
Critical Dimension Control for 32 nm Node Random Contact Hole Array with Resist Reflow Process
机译:
具有电阻回流工艺的32 nm节点随机接触孔阵列的临界尺寸控制
作者:
Joon-Min Park
;
Young-Min Kang
;
Seung-Wook Park
;
Joo-Yoo Hong
;
Hye-Keun Oh
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
resist reflow process;
optical proximity correction;
contact hole;
viscosity;
bulk effect;
16.
Overcoming Loading Challenges in a Mask Etcher for 45 nm Beyond
机译:
克服45 nm及以上的掩模蚀刻机中的装载挑战
作者:
M. Chrachood
;
T Y B Leung
;
K. Yu
;
M.Grimbergen
;
S. Panayil
;
I. Ibrahim
;
A. Sabharwal
;
A.Kumar
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
binary mask;
global loading;
EASPM;
APSM;
CDU;
CD bias;
photomask etch;
45nm;
17.
Self-aligned Resist Patterning with 172nm and 193nm Backside Flood Exposure on Attenuated Phase Shift Masks
机译:
衰减相移掩模上具有172nm和193nm背面洪水泛光的自对准电阻图案
作者:
Jun Chun
;
Taejoong Ha
;
Hoyong Jung
;
Sangjin Jo
;
Oscar Han
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
backside flood exposure;
self-aligned resist patterning;
photo-mask;
transmittance;
18.
Practical use of hard mask process to fabricate fine photomasks for 45nm node and beyond
机译:
硬掩模工艺的实际使用,以制造适用于45nm及更高节点的精细光掩模
作者:
Yasuyuki Kushida
;
Hitoshi Ha
;
Hiroshi Maruyama
;
Yuuki Abe
;
Yukihiro Fujimura
;
Toshifumi Yokoyama
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
45nm-node;
hard-mask (HM) blanks;
bright-field masks;
gate-layer;
CD;
defect;
19.
Resistless mask structuring using an ion multi-beam projection pattern generator
机译:
使用离子多束投影图案生成器的无阻掩模结构
作者:
Joerg Butschke
;
Mathias Irmscher
;
Florian Letzkus
;
Hans Loeschner
;
Lorenz Nedelmann
;
Elmar Platzgummer
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
mask process;
ion beam;
chrome;
hard mask;
pattern generation;
20.
Pattern density and process related CD corrections at 32nm node
机译:
在32nm节点处的图案密度和与工艺有关的CD校正
作者:
Zdenek Benes
;
Jun Kotani
会议名称:
《》
|
2007年
关键词:
critical dimension;
proximity effect;
fogging effect;
etch loading effect;
global process correction;
21.
Reconfigurable Lithographic Applications Using Polymer Liquid Crystal Composite Films
机译:
使用聚合物液晶复合膜的可重构光刻应用
作者:
Anna E. Fox
;
Adam K. Fontecchio
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
H-PDLC;
photolithography;
22.
Automatic Residue Removal for High NA Extreme Illumination
机译:
自动去除残留物以实现高NA极端照明
作者:
James Moon
;
Byoung-Sub Nam
;
Joo-Hong Jeong
;
Dong-Ho Kong
;
Byung-Ho Nam
;
Dong Gyu Yim
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
DFM;
OPC;
verification;
assist pattern;
lithography;
23.
Effective area partitioning for preparing parallel processing in mask data preparation
机译:
有效区域划分,以准备掩模数据准备中的并行处理
作者:
Yoshiyuki Satou
;
Yasushi Okamoto
;
Manabu Fujimoto
;
Hiroshi Tsuchida
;
Akiko Satou
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
parallel process;
mask data preparation (MDP);
MRC;
OASIS;
24.
Mask calibration dominated methodology for OPC matching
机译:
OPC匹配中以掩模校准为主导的方法
作者:
Liang Zhu
;
Mark Lu
;
Dion King
;
Yili Gu
;
Steve Yang
;
Lawrence S. Melvin III
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC;
TAT;
mask matching;
OPC accuracy;
25.
Integration of OPC and Mask Data Preparation for Reduced Data I/O and Reduced Cycle Time
机译:
OPC和掩码数据准备的集成,以减少数据I / O和缩短周期时间
作者:
Ray Morgan
;
Manoj Chacko
;
Dan Hung
;
Johnny Yeap
;
Mathias Boman
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC;
TAT;
mask data prep;
MDP;
CATS;
proteus;
scalability;
RET;
I/O;
26.
Mask rule check using priority information of mask patterns
机译:
使用掩码模式的优先级信息进行掩码规则检查
作者:
Kokoro Kato
;
Yoshiyuki Taniguchi
;
Kuninori Nishizawa
;
Masakazu Endo
;
Tadao Inoue
;
Ryouji Hagiwara
;
Anto Yasaka
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
27.
Improving the Efficiency of Pattern Extraction for Character Projection Lithography using OPC optimization
机译:
使用OPC优化提高字符投影光刻的图案提取效率
作者:
Hirokazu Nosato
;
Tetsuaki Matsunawa
;
Hidenori Sakanashi
;
Masahiro Murakawa
;
Testuya Higuchi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC (optical proximity correction);
CP (character projection);
optimization;
lithography;
EBDW (electron beam direct-write);
28.
A User-programmable Link between Data Preparation and Mask Manufacturing Equipment
机译:
数据准备和掩膜制造设备之间的用户可编程链接
作者:
Weidong Zhang
;
Grant Davis
;
Emile Sahouria
;
Steffen Schulze
;
Mohammed Saad
;
Arae Seyfarth
;
Eric Poortinga
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
metrology;
CD control;
snapshot;
simulation;
aerial image;
metrology data preparation;
measurement;
29.
32nm Half Pitch Node OPC Process Model Development for Three Dimensional Mask Effects Using Rigorous Simulation
机译:
使用严格仿真为三维掩模效应开发32nm半间距节点OPC工艺模型
作者:
Lawrence S. Melvin III
;
Thomas Schmoeller
;
Jianliang Li
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
three dimensional mask effect;
electromagnetic field effect;
process model;
30.
OPC Verification on Cell Level Using Fully Rigorous Mask Topography Simulation
机译:
使用完全严格的掩模拓扑模拟对细胞水平进行OPC验证
作者:
Vitaliy Domnenko
;
Thomas Klimpel
;
Georg Viehoever
;
Hans Koop
;
Lawrence S. Melvin III
;
Thomas Schmoeller
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC verification;
lithography simulation;
mask topography effects;
EMF aware OPC;
FDTD method;
parallel processing;
31.
A Study of Haze Generation as Thin Film Materials
机译:
薄雾产生的薄膜材料研究
作者:
Ju-Hyun Kang
;
Han-Sun Cha
;
Sin-Ju Yang
;
Chul-Kyu Yang
;
Jin-Ho Ahn
;
Kee-Soo Nam
;
Jong-Min Kim
;
Manish Patil
;
Ik-Bum Hur
;
Sang-Soo Choi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
haze;
ion chromatography;
thin film material;
exposure;
SO_(4~(2-));
NH_4~+;
32.
A method to determine the origin of remaining particles after mask blank cleaning
机译:
掩模空白清洗后确定残留颗粒来源的方法
作者:
Vivek Kapila
;
Sean Eichenlaub
;
Abbas Rastegar
;
Arun John
;
Pat Marmillion
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUVL;
zeta potential;
mask cleaning;
33.
Haze generation effect by pellicle and packing box on photomask
机译:
薄膜和光罩上的包装盒产生雾霾的效果
作者:
Jong-Min Kim
;
Manish Patil
;
Woo-Gun Jeong
;
Ik-Boum Hur
;
Cheol Shin
;
Sung-Mo Jung
;
Moon-Hwan Choi
;
Sang-Soo Choi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
haze;
pellicle;
storage package material;
box;
outgas;
storage atmosphere;
sulfate free cleaning;
34.
Laser Shockwave Cleaning of EUV Reticles
机译:
EUV标线的激光冲击波清洁
作者:
N.A. Lammers
;
A. Bleeker
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
laser;
shockwave;
cleaning;
EUV;
reticles;
35.
Mask Protection from a haze while shipping and storage
机译:
面罩保护在运输和存储时免受雾霾侵害
作者:
T. Umeda
;
H. Kawashima
;
T. Miho
;
K. Moriya
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
absorbent;
storage;
shipping;
haze;
chemical tight;
ammonium sulfate;
chemical filter;
36.
Parameter Sensitive Patterns for Scatterometry Monitoring
机译:
散射监测的参数敏感模式
作者:
Jing Xue
;
Yu Ben
;
Chaohao Wang
;
Marshal Miller
;
Costas J. Spanos
;
rew R. Neureuther
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
scatterometry;
ODP;
focus;
electric field spill over;
interferometric probe;
37.
CD-Signature evaluation Evaluation using Scatterometry
机译:
CD签名评估使用散射法评估
作者:
Jan Richter
;
Philipp Laube
;
John Lam
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
critical dimensions;
metrology;
reproducibility;
cross-calibration;
photolithographic masks;
scatterometry;
38.
Long-term critical dimension measurement performance for a new mask CD-SEM, S-9380M
机译:
新型掩模CD-SEM S-9380M的长期临界尺寸测量性能
作者:
WANG Zhigang
;
SEET Kock Khuen
;
Ritsuo Fukaya
;
Yasuhiro Kadowaki
;
Noriaki Arai
;
Makoto Ezumi
;
Hidetoshi Satoh
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
mask metrology;
S-9380M;
critical dimension;
charge balance;
ultra-violet treatment;
long-term repeatability;
39.
The study for close correlation of mask and wafer to optimize wafer field CD uniformity
机译:
掩模与晶圆紧密相关以优化晶圆场CD均匀性的研究
作者:
Munsik Kim
;
Jaesung Kang
;
Shinchul Kang
;
Goomin Jeong
;
Yongkyoo Choi
;
Oscar Han
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
CD;
field CD uniformity;
SEM;
area CD;
scatterometry;
CD correction;
40.
Development of a captured image simulator for the Differential Interference Contrast microscopes aiming to design 199 nm mask inspection tools
机译:
开发用于差分干涉对比显微镜的捕获图像模拟器,旨在设计199 nm掩模检测工具
作者:
Masataka Shiratsuchi
;
Yoshinori Honguh
;
Ryoichi Hirano
;
Riki Ogawa
;
Masatoshi Hirono
;
Takehiko Nomura
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
mask inspection;
captured image profile simulation;
phase shifting mask;
differential interference contrast microscope;
wollaston prism;
birefringent;
rigorous coupled wave analysis;
41.
Mask CD Control (CDC) with Ultrafast Laser for Improving Mask CDU Using AIMS~TM as the CD Metrology Data Source
机译:
使用AIMS〜TM作为CD计量数据源的具有超快激光的掩模CD控制(CDC)来改善掩模CDU
作者:
Guy Ben-Zvi
;
Eitan Zait
;
Vladimir Dmitriev
;
Erez Graitzer
;
Gidi Gottlieb
;
Lior Leibovich
;
Robert Birkner
;
Klaus Boehm
;
Thomas Scheruebl
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
CD control;
CDC;
CDU;
aerial imaging;
AIMS;
shading elements;
ultrafast laser;
photomask;
42.
Improvements in Model-based Assist Feature Placement Algorithms
机译:
基于模型的辅助特征放置算法的改进
作者:
Benjamin Painter
;
Levi D. Barnes
;
Jeffrey P. Mayhew
;
Yongdong Wang
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
43.
Inverse Lithography Technology (ILT) Keep the balance between SRAF and MRC at 45and 32 -nm
机译:
反光刻技术(ILT)使SRAF和MRC之间的平衡保持在45和32 nm
作者:
Linyong Pang
;
Yong Liu
;
Thuc Dam
;
Kresimir Mihic
;
Thomas Cecil
;
Dan Abrams
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
lithography;
inverse lithography technology (ILT);
optical proximity correction (OPC);
resolution enhancement technology (RET);
sub-resolution assist feature (SRAF);
mask rule compliance (MRC);
photomask;
44.
An approach of auto-fix post OPC hot spots
机译:
OPC热点自动修复的方法
作者:
Ching-HengWang
;
Qingwei Liu
;
Liguo Zhang
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
optical proximity correction (OPC);
resolution enhancement technique (RET);
hot spot;
auto-fix;
45.
3D Mask modeling with Oblique incidence and Mask Corner rounding effects for the 32nm node
机译:
具有32nm节点的斜入射和Mask Corner舍入效果的3D Mask建模
作者:
Mazen Saied
;
Franck Foussadier
;
Jerome Belledent
;
Yorick Trouiller
;
Isabelle Schanen
;
Emek Yesilada
;
Christian Gardin
;
Jean Christophe Urbani
;
Frank Sundermann
;
Frederic Robert
;
Christophe Couderc
;
Florent Vautrin
;
Laurent LeCam
;
Gurwan Kerrien
;
Jonathan
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
oblique incidence;
normal incidence;
off-axis illumination;
abbe formulation;
hopkins;
corner rounding;
OPC modeling;
46.
Model-based mask verification
机译:
基于模型的模板验证
作者:
Frank Foussadier
;
Frank Sundermann
;
Anthony Vacca
;
Jim Wiley
;
George Chen
;
Tadahiro Takigawa
;
Katsuya Hayano
;
Syougo Narukawa
;
Satoshi Kawashima
;
Hiroshi Mohri
;
Naoya Hayashi
;
Hiroyuki Miyashita
;
Y. Trouiller
;
F. Robert
;
F. Vautrin
;
G. Kerrien
;
J. Plan
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC;
modeling;
verification;
47.
More robust Model built using SEM Calibration
机译:
使用SEM校准构建的更强大的模型
作者:
Ching-HengWang
;
Qingwei Liu
;
Liguo Zhang
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
optical proximity correction (OPC);
scanning electron microscope (SEM) calibration;
resolution enhancement technique (RET);
48.
Fundamental study on the error factor for sub 90 nm OPC modeling
机译:
90 nm以下OPC建模误差因子的基础研究
作者:
Hyesung Lee
;
Sang-Uk Lee
;
Jeahee Kim
;
Keeho Kim
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
model-based OPC;
modeling error factor;
accuracy;
OPC runtime;
optical modeling;
resist modeling;
49.
Safe Interpolation Distance for VT5 Resist Model
机译:
VT5抵抗模型的安全插值距离
作者:
Walid Tawfic
;
Mohamed Al-Imam
;
George E. Bailey
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
VT5 model;
safe interpolation distance;
model based OPC;
50.
The effect of the OPC parameters on the performance of the OPC model
机译:
OPC参数对OPC模型性能的影响
作者:
Amr Abdo
;
Ahmed Seoud
;
Alexer Wei
;
Ian Stobert
;
Alan Leslie
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
model based optical proximity correction;
OPC setup parameters;
OPC model performance;
51.
Modeling Scanner Signatures in the Context of OPC
机译:
在OPC上下文中对扫描仪签名进行建模
作者:
Qiaolin (Charlie) Zhang
;
Jacek K. Tyminski
;
Kevin Lucas
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
optical proximity correction (OPC);
OPC modeling;
optical proximity effect (OPE);
scanner systematic signatures;
illuminator pupil-fill;
lens aberration;
lens apodization;
flare;
52.
Modeling polarized illumination for OPC/RET
机译:
为OPC / RET建模偏振照明
作者:
Hua Song
;
Qiaolin(Charlie) Zhang
;
James Shiely
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
lithography modeling;
polarized illumination;
vectorial imaging equation;
optical proximity correction (OPC);
critical dimension (CD);
53.
OPC development in action for advanced technology nodes
机译:
针对高级技术节点的OPC开发实践
作者:
Anthony Chunqing Wang
;
Masashi Fujimoto
;
Paul.J.M. van Adrichem
;
Ingo Bork
;
Hiroshi Yamashita
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
OPC model building;
metrology;
54.
Industry Survey of Wafer Fab Reticle Quality Control Strategies in the 90nm - 45nm design-rule age
机译:
90nm-45nm设计规则时代晶圆晶圆质量控制策略的行业调查
作者:
Russell Dover
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
inspection;
strategy;
STARlight;
mask defects;
crystal growth;
progressive defects;
lithography;
55.
Shuttle fabrication for designs with lifted I/Os
机译:
I / O提升设计的穿梭制造
作者:
Rung-Bin Lin
;
Meng-Chiou Wu
;
Shih-Cheng Tsai
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
shuttle run;
multi-project wafer;
mask cost;
reticle floorplanning;
wafer dicing;
56.
Development status of EUVL mask blanks in AGC
机译:
AGC中EUVL口罩毛坯的发展现状
作者:
Kazuyuki Hayashi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
extreme ultraviolet lithography;
mask blank, substrate;
57.
Performance of actinic EUVL mask imaging using a zoneplate microscope
机译:
使用带区板显微镜的光化EUVL掩模成像性能
作者:
Kenneth A. Goldberg
;
Patrick P. Naulleau
;
Anton Barty
;
Senajith B. Rekawa
;
Charles D. Kemp
;
Robert F. Gunion
;
Farhad Salmassi
;
Eric M. Gullikson
;
Erik H. erson
;
Hak-Seung Han
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
extreme ultraviolet lithography;
EUV;
mask inspection;
zoneplate;
imaging;
actinic inspection;
58.
The effect of size and shape of sub-50 nm defects on their detectability
机译:
50 nm以下缺陷的大小和形状对其可检测性的影响
作者:
Abbas Rastegar
;
Wonil Cho
;
Eric Gullikson
;
Sean Eichenlaub
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
defect inspection;
mask inspection;
lasertec M7360;
EUV;
59.
Techniques to Measure Force Uniformity of Electrostatic Chucks for EUV Mask Clamping
机译:
用于测量EUV面罩夹持的静电吸盘力均匀性的技术
作者:
Sathish Veeraraghavan
;
Jaewoong Sohn
;
Kevin T. Turner
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUV lithography;
electrostatic chucking;
finite element analysis;
60.
A Study of precision performance and scan damage of EUV masks with the LWM9000 SEM
机译:
用LWM9000 SEM研究EUV掩模的精密性能和扫描损伤
作者:
Isao Yonekura
;
Hidemitsu Hakii
;
Takashi Yoshii
;
Yoshiyuki Negishi
;
Katsumi Oohira
;
Koichirou Kanayama
;
Masashi Kawashita
;
Yo Sakata
;
Keishi Tanaka
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUVL;
metrology;
precision;
LWM9000SEM;
61.
EUV mask substrate flatness improvement by laser irradiation
机译:
通过激光辐照提高EUV掩模基板的平整度
作者:
Kiwamu Takehisa
;
Jim Kodama
;
Hal Kusunose
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUVL;
mask;
substrate;
flatness;
laser;
62.
Evaluation of EUVL-mask pattern defect inspection using 199-nm inspection optics
机译:
使用199 nm检查光学元件评估EUVL掩模图案缺陷检查
作者:
Tsuyoshi Amano
;
Yasushi Nishiyama
;
Hiroyuki Shigemura
;
Tsuneo Terasawa
;
Osamu Suga
;
Hideaki Hashimoto
;
Norio Kameya
;
Shingo Murakami
;
Nobutaka Kikuiri
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUVL mask;
mask defect;
mask inspection;
199nm;
die-to-die;
reflected illumination;
63.
Study of impacts of mask structure on hole pattern in EUVL
机译:
掩模结构对EUVL中孔图案的影响研究
作者:
Nobuyuki Iriki
;
Yukiyasu Arisawa
;
Hajime Aoyama
;
Toshihiko Tanaka
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUVL;
lithography simulation;
mask structure;
absorber;
sidewall;
64.
Repair specification study for half pitch 32-nm patterns for EUVL
机译:
EUVL半间距32-nm图案的维修规范研究
作者:
Hajime Aoyama
;
Tsuyoshi Amano
;
Yasushi Nishiyama
;
Hiroyuki Shigemura
;
Osamu Suga
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUVL;
absorber defect;
defect repair;
optical constant;
32-nm node;
rigorous simulation;
65.
EUV Mask Process Development using DUV Inspection System
机译:
使用DUV检查系统开发EUV掩模工艺
作者:
David Kim
;
Venu Vellanki
;
William Huang
;
rew Cao
;
Chunlin Chen
;
Aditya Dayal
;
Paul Yu
;
KiHun Parkv Yumiko Maenaka
;
Kazuko Jochi
;
Gregg Inderhees
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
extreme ultraviolet lithography (EUVL);
inspection;
die-to-die;
die-to-database;
reflected light;
3x nm and below;
66.
Metrology for templates of UV nano imprint lithography
机译:
UV纳米压印光刻模板的计量学
作者:
Kouji Yoshida
;
Kouichirou Kojima
;
Makoto Abe
;
Shiho Sasaki
;
Masaaki Kurihara
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
nano imprint lithography;
metrology;
scanning probe microscopy;
SEM;
scatterometry;
67.
Development of EUV mask fabrication process using Ru capping blank
机译:
使用Ru盖毛坯开发EUV掩模制造工艺
作者:
Tsukasa Abe
;
Takashi Adachi
;
Shiho Sasaki
;
Hiroshi Mohri
;
Naoya Hayashi
;
Kosuke Ishikiriyama
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
EUV lithography;
EUV mask;
etch;
ta-based absorber;
ru capping;
mask fabrication. linearity;
LER;
68.
UV-NIL template for the 22nm node and beyond
机译:
适用于22nm及更高节点的UV-NIL模板
作者:
Takaaki Hiraka
;
Satoshi Yusa
;
Akiko Fujii
;
Shiho Sasaki
;
Kimio Itoh
;
Nobuhito Toyama
;
Masaaki Kurihara
;
Hiroshi Mohri
;
Naoya Hayashi
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
NIL;
template;
resolution;
69.
A Study of Template Cleaning for Nanoimprint Lithography
机译:
纳米压印光刻模板清洗的研究
作者:
James E. Ellenson
;
Lloyd C. Litt
;
Abbas Rastegar
会议名称:
《Conference on Photomask Technology; 20070918-21; Monterey,CA(US)》
|
2007年
关键词:
nanoimprint lithography;
cleaning;
nanoimprint templates;
意见反馈
回到顶部
回到首页