掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Conference on Advances in Patterning Materials and Processes XXXIV
Conference on Advances in Patterning Materials and Processes XXXIV
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Lamellar Orientation of Block Copolymer Using Polarity Switch of Nitrophenyl Self-assembled Monolayer Induced by Electron Beam
机译:
使用电子束诱导的硝基苯基自组装单层的极性开关的嵌段共聚物的层状方向
作者:
Hiroki Yamamoto
;
Guy Dawson
;
Takahiro Kozawa
;
Alex. P.G Robinson
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Block copolymer;
lamellar orientation;
self-assembled monolayer;
polarity switch;
electron beam;
2.
Development of TiO_2 containing hardmasks through Plasma Enhanced Atomic Layer Deposition
机译:
通过等离子体增强原子层沉积的含有硬掩氮的TiO_2的研制
作者:
Anuja De Silva
;
Indira Seshadri
;
Kisup Chung
;
Abraham Arceo
;
Luciana Meli
;
Brock Mendoza
;
Yasir Sulehria
;
Yiping Yao
;
Madhana Sunder
;
Hoa Truong
;
Shravan Matham
;
Ruqiang Bao
;
Heng Wu
;
Nelson M. Felix
;
Sivananda Kanakasabapathy
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
hardmask;
lithography;
TiO_2;
3.
Development of a Robust Reverse Tone Pattern Transfer Process
机译:
强大的反向色调模式转移过程的开发
作者:
Niyaz Khusnatdinov
;
Gary Doyle
;
Douglas J. Resnick
;
Zbengmao Ye
;
Dwayne LaBrake
;
Brennan Milligan
;
Fred Alokozai
;
Jerry Chen
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Nanoimprint lithography;
reverse tone process;
RTP;
Atomic layer deposition;
ALD;
PE-ALD;
4.
Numeric Model for the Imaging Mechanism of Metal Oxide EUV Resists
机译:
金属氧化物EUV抗蚀剂成像机理的数值模型
作者:
W.D. Hinsberg
;
S. Meyersb
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
metal oxide resist;
mechanism;
imaging;
5.
Effects of phenolic compound addition to fractionated Novolak-based resists to improve resolution capability (2)
机译:
酚类化合物加入除去酚醛清漆的抗蚀剂的影响改善分辨率(2)
作者:
Atsushi SEKIGUCHI
;
Yoko MATSUMOTO
;
Yoshihisa SENSU
;
Satoshi TAKEI
;
Makoto HANABATA
;
Hatsuyuki TANAKA
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Novolak resist;
Photo active compound;
PROLITH;
Process margin;
Maximum fractionated component;
Phenol resin;
6.
Modeling of NTD Resist Shrinkage
机译:
NTD抗蚀剂收缩的建模
作者:
Thomas Muelders
;
Hans-Jurgen Stock
;
Bernd Kuechler
;
Ulrich Klostermann
;
Weimin Gao
;
Wolfgang Demmerle
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
NTD Resist;
Physical Resist Simulation;
Post Exposure Bake;
Resist Shrinkage;
7.
Reactivity of Metal Oxalate EUV Resists as a Function of the Central Metal
机译:
金属草酸EUV抗蚀剂作为中央金属的函数的反应性
作者:
Steven Grzeskowiak
;
Amrit Narasimhan
;
Michael Murphy
;
Lee Napolitano
;
Daniel A. Freedman
;
Robert L. Brainard
;
Greg Denbeaux
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
EUV photoresist;
outgassing;
electron;
reactivity;
yield;
photon;
absorption coefficient;
metal oxalate;
8.
Suppression of thermal fluctuation placement errors in linear arrays of block copolymer cylinders
机译:
块共聚物圆柱体线性阵列中热波动放置误差的抑制
作者:
Corinne L. Carpenter
;
Kris T. Delaney
;
Glenn H. Fredrickson
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
9.
Dual brush process for selective surface modification in grapho-epitaxy directed self-assembly
机译:
用于Grapho-Epitaxy定向自组装中的选择性表面改性的双刷工艺
作者:
Jan Doise
;
Boon Teik Chan
;
Masafumi Hori
;
Roel Gronheid
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Block copolymer;
directed self-assembly;
via patterning;
grapho-epitaxy;
surface modification;
polymer brush;
10.
Fundamentals of EUV resist-inorganic hardmask interactions
机译:
EUV抗拒 - 无机硬掩模相互作用的基础
作者:
Dario L. Goldfarb
;
Martin Glodde
;
Anuja De Silva
;
Indira Seshadri
;
Nelson M. Felix
;
Krystelle Lionti
;
Teddie Magbitang
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
EUV resist;
inorganic hardmask;
interfacial interaction;
adhesion promoter;
adhesion failure;
resist residue;
11.
Analytical Techniques for Mechanistic Characterization of EUV Photoresists
机译:
EUV光致抗蚀剂机械表征的分析技术
作者:
Steven Grzeskowiak
;
Amrit Narasimhan
;
Michael Murphy
;
Christian Ackerman
;
Jake Kaminsky
;
Robert L. Brainard
;
Greg Denbeaux
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
EUV;
photoresist;
outgassing;
electron;
technique;
spectroscopy;
absorption coefficient;
photon;
12.
DSA patterning options for logics and memory applications
机译:
逻辑和内存应用程序的DSA图案化选项
作者:
Chi-Chun Liu
;
Elliott Franke
;
Yann Mignot
;
Scott LeFevre
;
Stuart Sieg
;
Cheng Chi
;
Luciana Meli
;
Doni Parnell
;
Kristin Schmidt
;
Martha Sanchez
;
Lovejeet Singh
;
Tsuyoshi Furukawa
;
Indira Seshadri
;
Ekmini Anuja De Silva
;
Hsinyu Tsai
;
Kafai Lai
;
Hoa Truong
;
Richard Farrell
;
Robert Bruce
;
Mark Somervell
;
Daniel Sanders
;
Nelson Felix
;
John Arnold
;
David Hetzer
;
Akiteru Ko
;
Andrew Metz
;
Matthew Colburn
;
Daniel Corliss
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Directed self-assembly;
DSA;
block copolymer;
BCP;
Chemoepitaxy;
Graphoepitaxy;
FinFET;
EUV;
Via;
metal block;
Mx Cut;
PCM;
Fin customization;
13.
Pattern uniformity control in integrated structures
机译:
综合结构中的模式均匀性控制
作者:
Shinji Kobayashi
;
Soichiro Okada
;
Satoru Shimura
;
Kathleen Nafus
;
Carlos Fonseca
;
Serge Biesemans
;
Masashi Enomoto
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
IPFE;
EPE;
CD budget;
LWR;
ANOVA;
LCDU;
SPN (stochastic pattern noise);
14.
Exploration of a low-temperature PEALD technology to trim and smooth 193i photoresist
机译:
探索低温PEALD技术修剪和平滑193i光致抗蚀剂
作者:
Frederic Lazzarino
;
Sara Paolillo
;
Antony Peter
;
David De Roest
;
TaeGeun Seong
;
Yizhi Wu
;
Stefan Decoster
;
Vito Rutigliani
;
Gian Francesco Lorusso
;
Vassilios Constantoudis
;
Sven Van Elshocht
;
Daniele Piumi
;
Kathy Barla
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
193i ArF photoresist;
EUV photoresist;
Line Edge Roughness (LER);
Line Width Roughness (LWR);
Photoresist smoothening;
Photoresist trimming;
PEALD;
15.
Photosensitized Chemically Amplified Resist~? (PSCAR~?) 2.0 for high throughput and high resolution EUV lithography: Dual photosensitization of acid generation and quencher decomposition by flood exposure
机译:
光敏化化学放大抗蚀剂〜? (PSCAR〜?)2.0用于高吞吐量和高分辨率EUV光刻:洪水暴露的酸产生和猝灭剂分解的双重光敏
作者:
Seiji Nagahara
;
Michael Carcasi
;
Gosuke Shiraishi
;
Hisashi Nakagawa
;
Satoshi Dei
;
Takahiro Shiozawa
;
Kathleen Nafus
;
Danilo De Simone
;
Geert Vandenberghe
;
Hans-Jiirgen Stock
;
Bernd Kuechler
;
Masafumi Hori
;
Takehiko Naruoka
;
Tomoki Nagai
;
Yukie Minekawa
;
Tomohiro Iseki
;
Yoshihiro Kondo
;
Kosuke Yoshihara
;
Yuya Kamei
;
Masaru Tomono
;
Ryo Shimada
;
Serge Biesemans
;
Hideo Nakashima
;
Philippe Foubert
;
Elizabeth Buitrago
;
Michaela Vockenhuber
;
Yasin Ekinci
;
Akihiro Oshima
;
Seiichi Tagawa
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
16.
A high chi track-compatible DSA for sub-lOnm L/S patterning
机译:
用于子LONM L / S图案的高CHI轨道兼容DSA
作者:
Douglas J. Guerrero
;
Kaumba Sakavuyi
;
Kui Xu
;
Harold Stokes
;
Masahiko Harumoto
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
BCP;
solvent annealing;
300mm DSA track process;
high chi;
17.
Separating the optical contribution to line edge roughness of EUV lithography using stochastic simulations
机译:
利用随机模拟将光学贡献与EUV光刻线边缘粗糙度分开
作者:
Anindarupa Chunder
;
Azat Latypov
;
Yulu Chen
;
John J. Biafore
;
Harry J. Levinson
;
Todd Bailey
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Lithography;
EUV;
photon shot noise;
PSN;
line edge roughness;
stochastic;
photoresist;
resist;
chemically amplified resist;
18.
A novel methodology for Litho-to-Etch Pattern Fidelity Correction for SADP Process
机译:
一种新的MADP过程的岩石蚀刻模式保真校正的新方法
作者:
Shr-Jia Chen
;
Yu-Cheng Chang
;
Arthur Lin
;
Yi-Shiang Chang
;
Chia-Chi Lin
;
Jun-Cheng Lai
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
double patterning;
SADP;
core trim;
etch loading;
pattern density;
OPC;
photoresist top-loss;
pattern fidelity;
19.
New processes associated with electron beam lithography for ultra-small resonators
机译:
用于超小谐振器的电子束光刻相关的新工艺
作者:
Landobasa Y. M. Tobing
;
Dao Hua Zhang
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Electron beam lithography;
new process;
and small resonator;
20.
Estimation of effects of thermal fluctuations in graphoepitaxy DSA of cylinder-forming block copolymers
机译:
圆柱形嵌段共聚物的图解性DSA热波动效应的估计
作者:
Alec Bowen
;
Azat Latypov
;
Todd Bailey
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
directed self-assembly;
DSA;
thermal fluctuations;
self-consistent field theory;
SCFT;
21.
Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming and selective stripping
机译:
用金属氧化物EUV光致抗蚀剂(图案化)图案化,抵抗平滑,修剪和选择性剥离
作者:
Ming Mao
;
Frederic Lazzarino
;
Peter De Schepper
;
Danilo De Simone
;
Daniele Piumi
;
Vinh Luong
;
Fumiko Yamashita
;
Michael Kocsis
;
Kaushik Kumar
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
metal-oxide EUV photoresist;
iN7 technology;
resist trimming;
22.
Self planarization performance of carbon-based spin-on hardmask
机译:
碳基旋转硬轧掩墩自平坦化性能
作者:
Huichan Yun
;
Jinhyung Kim
;
Youjung Park
;
Yoona Kim
;
Seulgi Jeong
;
Jaeyeol Baek
;
Byeri Yoon
;
Sanghak Lim
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Spin on hardmask;
multiple patterning;
etch resistance;
gap-fill;
planarization;
23.
Continuous Improvements of Defectivity Rates in Immersion Photolithography Via Functionalized Membranes in Point-of-Use Photochemical Filtration
机译:
在使用点光化学过滤中,通过官能化膜在沉浸光刻中的缺陷率的连续改进
作者:
Lucia DUrzo
;
Hareen Bayana
;
Jelle Vandereyken
;
Philippe Foubert
;
Aiwen Wu
;
Jad Jaber
;
James Hamzik
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
immersion lithography;
Point-of-use filtration;
functionalized membrane;
microbridging;
24.
Investigation on spin-on hard mask integration
机译:
旋转硬面膜集成的调查
作者:
Yushin Park
;
Seungwook Shin
;
Yunjun Kim
;
Seunghyun Kim
;
Jaebum Lim
;
Sung Hwan Kim
;
Hyeonil Jung
;
Chungheon Lee
;
Miyeon Han
;
Sanghak Lim
;
Jeong Yun Yu
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
carbon-based spin-on hard mask;
tri-layer;
quad-layer;
25.
Novel gap filling BARC with high chemical resistance
机译:
具有高耐化学性的新型间隙填充条形
作者:
Hiroto Ogata
;
Yuto Hashimoto
;
Yuki Usui
;
Mamoru Tamura
;
Tomoya Ohashi
;
Yasushi Sakaida
;
Takahiro Kishioka
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
BARC;
gap filling;
planarity;
chemical resistance;
self-crosslinking;
ArF;
KrF;
26.
High-resolution, High-throughput, CMOS-compatible Electron Beam Patterning
机译:
高分辨率,高吞吐量,CMOS兼容电子束图案
作者:
Melissa A. Smith
;
Steven A. Vitale
;
Theodore H. Fedynyshyn
;
Matthew T. Cook
;
Joel Maldonado
;
Dmitri Shapiro
;
Mordechai Rothschild
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Scanning electron beam lithography;
chemically-amplified resists;
JSR1682J-30;
AZnLOF2020;
27.
Photochemical conversion of tin-oxo cage compounds studied using hard x-ray photoelectron spectroscopy
机译:
使用硬X射线光电子体光谱研究的锡氧代笼子化合物的光化学转化
作者:
Yu Zhang
;
Jarich Haitjema
;
Xiaomeng Liu
;
Fredrik Johansson
;
Andreas Lindblad
;
Sonia Castellanos
;
Niklas Ottosson
;
Albert M. Brouwer
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Inorganic photoresist;
tin oxo cages;
HAXPES;
photochemical mechanism;
DUV;
28.
Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists
机译:
有机和无机EUV光致抗蚀剂中低能电子散射的建模与仿真
作者:
Alessandro Vaglio Pret
;
Trey Graves
;
David Blankenship
;
John J. Biafore
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
EUVL;
XAS;
SEY;
SEB;
IMFP;
EMFP;
29.
Study for New Hardmask Process Scheme
机译:
新的硬掩模过程计划研究
作者:
Daeyoup Lee
;
Phillip Tatti
;
Richard Lee
;
Jack Chang
;
Winston Cho
;
Sanggil Bae
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Spin on carbon;
Etch;
skew;
Overlay;
ACL;
SOH;
30.
Chemically Amplified i-line Positive Resist for Next Generation Flat Panel Display
机译:
用于下一代平板显示器的化学放大的I线阳性抗蚀剂
作者:
Hsing-Chieh Lee
;
Ying-Hao Lu
;
Shin-Yih Huang
;
Wei-Jen Lan
;
Makoto Hanabata
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
TFT-LCD;
Low Temperature Poly-silicon (LTPS);
Chemically amplified;
i-line positive photoresist;
High sensitivity;
Dissolution enhancer;
Post Exposure Bake;
31.
Embedded Barrier Layer for Reducing the Effect Out of Band Radiation in EUV Lithography
机译:
用于减少EUV光刻中带辐射的效果的嵌入式阻挡层
作者:
Ke Du
;
Meiliana Siauw
;
David Valade
;
Marek Jasieniak
;
Nico Voelcker
;
Peter Trefonas
;
Jim Thackeray
;
Idriss Blakey
;
Andrew Whittaker
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
EUVL;
OOB;
Embedded Barrier Layer;
RAFT polymerization;
32.
Development of high heat resistant polyphenols applied to the Spin-On Carbon Hardmask
机译:
施加到旋转碳硬掩模上的高耐热多酚的开发
作者:
Tomoaki Takigawa
;
Junya Horiuchi
;
Naoya Uchiyama
;
Kana Okada
;
Yoko Shimizu
;
Takashi Makinoshima
;
Takashi Sato
;
Masatoshi Echigo
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
polyphenol derivatives;
Spin-On Carbon Hardmask;
ethching rate;
lithography;
gap filling;
planarization;
33.
Advanced lithographic filtration and contamination control for 14nm node and beyond semiconductor processes
机译:
14nm节点及超出半导体工艺的先进的光刻过滤和污染控制
作者:
Rao Varanasi
;
Michael Mesawich
;
Patrick Connor
;
Lawrence Johnson
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Nano-filtration;
contamination controls;
microbridging defects;
membranes;
cleanliness;
34.
CMOS patterning over high aspect ratio topographies for N10/N7 using spin-on carbon hardmasks
机译:
CMOS在使用旋转碳硬掩模上针对N10 / N7的高纵横比地形进行图案化
作者:
Toby Hopf
;
Monique Ercken
;
Geert Mannaert
;
Eddy Kunnen
;
Zheng Tao
;
Nadia Vandenbroeck
;
Farid Sebaai
;
Yoshiaki Kikuchi
;
Hans Mertens
;
Stefan Kubicek
;
Steven Demuynck
;
Naoto Horiguchi
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
CMOS;
FinFET;
spin-on carbon;
spin-on glass;
implants;
epitaxial growth;
35.
High-x block copolymers for directed self-assembly patterning without the need for topcoat or solvent annealing
机译:
用于定向自组装图案的高X嵌段共聚物,无需面涂层或溶剂退火
作者:
Kui Xu
;
Mary Ann Hockey
;
Eric Calderas
;
Douglas Guerrero
;
Daniel Sweat
;
Richard Daugherty
;
Jeffrey Fiehler
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
block copolymers;
directed self-assembly;
perpendicular orientation;
high-x block copolymers;
36.
Pattern Collapse Solution for Asymmetric Pattern
机译:
不对称模式的模式折叠解决方案
作者:
C. J. Tu
;
C. H. Huang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Pattern collapse;
asymmetric pitch pattern;
37.
Novel Spin on Penalization Technology by Photo Curing SOC (P-SOC)
机译:
小说旋转在惩罚技术上的照片固化SOC(P-SOC)
作者:
Takafumi Endo
;
Rikimaru Sakamoto
;
Keisuke Hashimoto
;
Daigo Saito
;
Hirokazu Nishimaki
;
Ryo Karasawa
;
Hikaru Tokunaga
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Spin-on-Carbon (SOC);
Planarization;
Photo cross-link;
Photo curing;
UV;
Reflow;
Film shrinkage;
38.
Line End Shortening I so-Dense Etch Bias Improvement by ALD Spacer Shrink Process
机译:
线路结束缩短和我如此密集的蚀刻偏置通过ALD垫片收缩过程改进
作者:
Rui Chen
;
Granger Lobb
;
Aleksandra Clancy
;
Bradley Morgenfeld
;
Shyam Pal
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
10nm;
line end shortening;
iso-dense bias;
ALD spacer;
CD shrink;
39.
Challenges and progress in low defectivity for advanced ArF and EUV lithography processes using surface localized material technology
机译:
使用表面局部材料技术的先进ARF和EUV光刻工艺挑战和进展
作者:
Michihiro Shirakawa
;
Hideaki Tsubaki
;
Hajime Furutani
;
Wataru Nihashi
;
Naohiro Tango
;
Kazuhiro Marumo
;
Kei Yamamoto
;
Hidenori Takahashi
;
Akiyoshi Goto
;
Mitsuhiro Fujita
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
ArF immersion lithography extension;
EUV lithography;
chemically amplified resist;
defectivity;
polarity change material;
40.
Nanoimprint lithography using gas permeable template
机译:
纳米压印光刻使用燃气模板
作者:
Makoto Hanabata
;
Satoshi Takei
;
Shinya Nakajima
;
Naoto Sugino
;
Yoko Matsumoto
;
Atsushi Sekiguchi
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
nanoimprint lithography;
gas permeable template;
mold;
biomass;
cellulose;
defect reduction;
41.
Analysis on the physical properties of low-temp SOC
机译:
低温SoC的物理性质分析
作者:
Doyong Kwak
;
Jaeyeol Kim
;
Jihoon Park
;
Jeonqsu Park
;
Sungkoo Lee Seomin Kim
;
Taewoo Jung
;
Hyeongsoo Kim
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
LT-SOC (Low Temperature Spin-on-Carbon);
Thermal stability;
42.
Orientation control of Silicon containing Block-Co-Polymer with resolution beyond 10 nm
机译:
含有块 - 共聚物的硅的定向控制,分辨率超过10nm
作者:
Yasunobu Someya
;
Ryuta Mizuochi
;
Hiroyuki Wakayama
;
Shinsuke Tadokoro
;
Masami Kozawa
;
Rikimaru Sakamoto
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
directed self-assembly;
block-co-polymer;
surface;
high chi;
lamella;
underlayer;
top coat;
43.
Development of Mass production technology for Block Copolymer Lithographic Materials
机译:
嵌段共聚物平版印刷材料批量生产技术的开发
作者:
Toshiyuki Himi
;
Ryota Matsuki
;
Terumasa Kosaka
;
Ryosuke Ogaki
;
Yukio Kawaguchi
;
Tetsuo Shimizu
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
living anionic polymerization;
block copolymer;
high chi;
large-scale polymerization;
SAXS;
44.
Hemicellulose block copolymers made from woods for wide-range directed self-assembly lithography enabling wider range of applicable patterning size
机译:
从宽范围定向的自组装光刻制成的半纤维素嵌段共聚物,可实现更广泛的适用图案尺寸
作者:
Kazuyo Morita
;
Kimiko Yamamoto
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Directed self-assembly (DSA);
Block copolymer (BCP);
High chi BCP;
Wide-range;
hemicellulose;
xylan;
metalize;
sequential infiltration synthesis (SIS);
45.
Chemical changes in hybrid photoresists before and after exposure by in situ NEXAFS
机译:
用原位NexaF之前和暴露于杂交光致抗蚀剂的化学变化
作者:
Roberto Fallica
;
Benjamin Watts
;
Gioia Delia Giustina
;
Laura Brigo
;
Giovanna Brusatin
;
Yasin Ekinci
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
NEXAFS;
STXM;
organically modified precursors;
organic-inorganic;
X-ray absorption;
organometallic;
EUV;
photoresist;
hybrid;
46.
Optimized phase field models in confinement: fast and accurate simulations of directed self-assembly
机译:
禁闭中优化的阶段现场模型:定向自组装的快速准确模拟
作者:
Jimmy Liu
;
Kris T. Delaney
;
Glenn H. Fredrickson
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
optimized;
phase field;
simulation;
confinement;
directed self-assembly;
self-consistent field theory;
Ohta-Kawasaki;
47.
Development of Novel Purifiers with Appropriate Functional Groups Based on Solvent Polarities at Bulk Filtration
机译:
基于散装过滤的溶剂极性的适当官能团的新型净化器的研制
作者:
Tetsu Kohyama
;
Fumiya Kaneko
;
Saksatha Ly
;
James Hamzik
;
Jad Jaber
;
Yoshiaki Yamada
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
metal reduction;
purification;
adsorption;
Hansen Solubility Parameters;
photo-resist polymers;
bulk filtration;
48.
High aspect ratio silicon structures by Displacement Talbot lithography and Bosch etching
机译:
通过位移Talbot光刻和博世蚀刻的高纵横比硅结构
作者:
Konstantins Jefimovs
;
Lucia Romano
;
Joan Vila-Comamala
;
Matias Kagias
;
Zhentian Wang
;
Li Wang
;
Christian Dais
;
Harun Solak
;
Marco Stampanonia
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Displacement Talbot lithography;
high aspect ratio;
silicon etching;
Bosch process;
x-ray interferometry;
49.
Difference in EUV photoresist design towards reduction of LWR and LCDU
机译:
EUV光致抗蚀剂设计对减少LWR和LCDU的差异
作者:
Jing Jiang
;
Danilo De Simone
;
Geert Vandenberghe
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Metal sensitizer;
photoresist;
EUV;
LWR;
LCDU;
50.
Optimize of shrink process with X-Y CD bias on hole pattern
机译:
孔图案X-Y CD偏置的缩小过程优化
作者:
Kyohei Koike
;
Arisa Hara
;
Sakurako Natori
;
Shouhei Yamauchi
;
Masatoshi Yamato
;
Kenichi Oyama
;
Hidetami Yaegashi
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
51.
Metal reduction at bulk chemical filtration
机译:
散装化学过滤的金属减少
作者:
Torn Umeda
;
Shusaku Daikoku
;
Shuichi Tsuzuki
;
Tetsuya Murakami
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
Metal reduction;
Nylon 6;
6;
HDPE;
PGME;
PGMEA;
Cyclohexanone;
Bulk chemical filtration;
TRXF;
52.
Electrical Study of DSA shrink process and CD rectification effect at sub-60nm using EUV test vehicle
机译:
使用EUV试验载体对DSA收缩过程和CD校正效应的电气研究
作者:
Cheng Chi
;
Chi-Chun Liu
;
Luciana Meli
;
Jing Guo
;
Doni Parnell
;
Yann Mignot
;
Kristin Schmidt
;
Martha Sanchez
;
Richard Farrell
;
Lovejeet Singh
;
Tsuyoshi Furukawa
;
Kafai Lai
;
Yongan Xu
;
Daniel Sanders
;
David Hetzer
;
Andrew Metz
;
Sean Burns
;
Nelson Felix
;
John Arnold
;
Daniel Corliss
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
53.
Unexpected Impact of RIE Gases on Lithographic Films
机译:
RIE气体对光刻薄膜的意外影响
作者:
M. Glodde
;
R. L. Bruce
;
M. JP. Hopstaken
;
M. R. Saccomanno
;
N. Felix
;
K. E. Petrillo
;
B. Price
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
trilayer;
plasma etch;
RIE;
pattern transfer;
atom implantation;
spin-on carbon (SOC);
SiARC;
54.
Study on thick film spin-on carbon hardmask
机译:
厚膜旋转碳硬掩模研究
作者:
Taeho Kim
;
Youngmin Kim
;
Sunmin Hwang
;
Hyunsoo Lee
;
Miyeon Han
;
Sanghak Lim
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
spin on carbon;
spin on hardmask;
thick film;
striation;
semiconductor;
lithography;
55.
DSA process window expansion with novel DSA track hardware
机译:
DSA流程窗口扩展与新型DSA轨道硬件
作者:
Masahiko Harumoto
;
Harold Stokes
;
Yuji Tanaka
;
Koji Kaneyam
;
Charles Pieczulewski
;
Masaya Asai
;
Maxime Argoud
;
Isabelle Servin
;
Gaeelle Chamiot-Maitral
;
Guillaume Claveau
;
Raluca Tiron
;
Ian Cayrefourcq
会议名称:
《Conference on Advances in Patterning Materials and Processes XXXIV》
|
2017年
关键词:
directed self-assembly (DSA);
defectivity;
coat develop track;
atmosphere control;
fingerprint;
graphoepitaxy;
意见反馈
回到顶部
回到首页