掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Electronics System-Integration Technology Conference
Electronics System-Integration Technology Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
311
条结果
1.
Void formation in Cu-Sn SLID bonding for MEMS
机译:
在Cu-Sn滑动键合的空隙形成MEMS
作者:
Ross G.
;
Hongbo Xu
;
Vuorinen V.
;
Paulasto-Krockel M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bonding processes;
chemical interdiffusion;
copper;
electroplating;
encapsulation;
hermetic seals;
mechanical stability;
micromechanical devices;
reliability;
tin;
voids (solid);
Cu-Sn;
EP copper;
IMC;
KV formation;
Kirkendall void formation;
MEMS system;
SLID bonding;
atom flux;
electroplated copper;
encapsulation method;
hermetic sealing;
intermetallic compound;
mechanical stability;
microelectronicmechanical system;
reliability;
solid-liquid interdiffusion bonding;
voiding propensity;
Additives;
Aging;
Bonding;
Copper;
Current density;
Reliability;
Soldering;
2.
Fully roll-to-roll gravure printed carbon nanotube based flexible thin film transistor backplane on 100 m of poly(ethyleneterephtalate) (PET) web
机译:
完全滚动凹版印刷印刷碳纳米管基柔性薄膜晶体管底板100米聚(乙基苯甲酸乙酸酯)(PET)网
作者:
Wookyu Lee
;
Hyunmo Koo
;
Junfeng Sun
;
Yunchang Choi
;
Gyoujin Cho
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
barium compounds;
carbon nanotube field effect transistors;
conducting materials;
dielectric materials;
electric properties;
flexible electronics;
nanoparticles;
semiconductor device reliability;
thin film transistors;
BaTiOlt;
subgt;
3lt;
/subgt;
PET;
R2R gravure;
dielectric ink;
electrical properties;
flexible thin film transistor backplane;
fully printed TFT backplanes;
fully roll-to-roll gravure printed carbon nanotube;
on-off current ratio;
overlay printing registration accuracy;
poly(ethyleneterephtalate) web;
printing reliability;
printing units;
rheological properties;
scalability factors;
sensor sheets;
silver nanoparticle based conductive ink;
single walled carbon nanotube based semiconducting ink;
threshold voltage;
transconductance;
wall paper manufacturing;
Backplanes;
Cameras;
Ink;
Positron emission tomography;
Printing;
Scalability;
Thin film transistors;
3.
Porous silicon electrodes for high performance integrated supercapacitors
机译:
用于高性能集成超级电容器的多孔硅电极
作者:
Grigoras K.
;
Keskinen J.
;
Ahopelto J.
;
Prunnila M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
III-V semiconductors;
atomic layer deposition;
coating techniques;
elemental semiconductors;
silicon;
supercapacitors;
ALD;
Si;
TiN;
atomic layer deposition;
charge cycles;
discharge cycles;
double layer capacitor characteristic;
energy density;
high aspect ratio pores;
integrated micro supercapacitors;
porous electrodes;
porous matrix;
power density;
ultra-thin coating;
Coatings;
Electrodes;
Silicon;
Supercapacitors;
Tin;
4.
Si dry etching for TSV formation and backside reveal
机译:
Si干蚀刻TSV形成和背面透露
作者:
Wang Z.
;
Jiang F.
;
Zhang W.Q.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
carbon compounds;
elemental semiconductors;
integrated circuit packaging;
silicon;
sputter etching;
sulphur compounds;
three-dimensional integrated circuits;
3D IC packaging;
Bosch process;
Clt;
subgt;
4lt;
/subgt;
Flt;
subgt;
8lt;
/subgt;
DRIE process;
SFlt;
subgt;
6lt;
/subgt;
Si;
TTV;
data bandwidth;
deep reactive ion etching technology;
dry etch process;
front-side wafer processing;
high-aspect ratio;
ion trajectories;
isotropic etching;
non-Bosch process;
reveal process;
sequential cycles;
through silicon via technology;
total thickness variation;
via-middle TSV integration;
wall passivation;
wall scalloping;
Dry etching;
Ions;
Process control;
Silicon;
Sulfur hexafluoride;
Through-silicon vias;
5.
Spray coating of self-aligning passivation layer for metal grid lines
机译:
金属栅极线自对准钝化层喷涂
作者:
Vuorinen T.
;
Janka M.
;
Rubingh J.E.
;
Tuukkanen S.
;
Groen P.
;
Lupo D.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
leakage currents;
organic light emitting diodes;
passivation;
photovoltaic cells;
spray coating techniques;
Joule heating;
OLED;
film thickness;
leakage current;
metal grid lines;
organic light emitting diodes;
photovoltaic cells;
self-aligning passivation layer;
spray coating;
Coatings;
Dielectrics;
Insulators;
Silver;
Substrates;
Surface treatment;
6.
Prediction of mechanical properties on zinc system alloys and their application to high temperature lead-free solder
机译:
预测锌系统合金对高温无铅焊料的机械性能及其应用
作者:
Zhefeng Xu
;
Matsugi K.
;
Yongbum Choi
;
Terada K.
;
Suetsugu K.-I.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
aluminium alloys;
elongation;
solders;
tensile strength;
tin alloys;
zinc alloys;
Zn-Al-Sn;
electronic parameter;
elongation;
high temperature lead-free solder;
mechanical property prediction;
power semiconductor devices packages;
pressure 195 MPa to 225 MPa;
s-orbital energy level;
system multicomponent alloys;
temperature 645 K to 973 K;
ultimate tensile strength;
zinc system alloys;
Lead;
Solids;
Temperature;
Temperature measurement;
Tin;
Zinc;
7.
A cost effective method for TSV backside reveal
机译:
TSV背面揭示的成本有效方法
作者:
Wang L.
;
Li H.
;
Song C.
;
Zhang W.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
etching;
three-dimensional integrated circuits;
wetting;
TSV back etch;
TSV backside reveal unit process;
TSV integration technology;
cost effective method;
interconnect bandwidth;
mechanical grind;
process control;
reveal etching process;
through silicon via;
vertical signal path;
via-first TSV integration flow;
via-mid TSV integration flow;
wafer back side process module;
wet etching process;
wire delay reduction;
Etching;
Process control;
Silicon;
Surface topography;
Through-silicon vias;
8.
High speed through glass via manufacturing technology for interposer
机译:
通过制造技术用于插入器的高速通过玻璃
作者:
Ostholt R.
;
Ambrosius N.
;
Kruger R.A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
drilling;
glass;
integrated circuit manufacture;
laser beam etching;
metallisation;
three-dimensional integrated circuits;
Si;
TGV manufacturing process;
anisotropic etching;
base machine;
chemical resistance;
glass additive;
glass drilling technology;
glass interposers;
high density substrate material;
high speed through glass via manufacturing technology;
interposer materials;
laser induced chemical etching;
laser induced glass etching technology;
low loss;
mechanical strength;
metallization;
on the fly process;
organic materials;
silicon materials;
size 10 mum to 200 mum;
thermal treatments;
ultrashort laser pulses;
Chemical lasers;
Etching;
Glass;
Laser ablation;
Substrates;
9.
Effect of UV light and low temperature on solution-processed, high-performance metal-oxide semiconductors and TFTs
机译:
UV光和低温对溶液加工,高性能金属氧化物半导体和TFT的影响
作者:
Majumdar H.
;
Leppaniemi J.
;
Ojanpera K.
;
Huttunen O.-H.
;
Alastalo A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
annealing;
curing;
thin film transistors;
ultraviolet radiation effects;
UV exposure;
UV light;
electrical properties;
flexible plastic substrates;
high-performance metal-oxide semiconductors;
low temperature effect;
low-temperature thermal annealing;
printable transistors;
solution-processed metal-oxide semiconductors;
thin film transistors;
ultra-violet curing;
Annealing;
Films;
Metals;
Plastics;
Substrates;
Thin film transistors;
10.
Printed low-voltage programmable write-once-read-many-memories
机译:
印刷低压可编程写入次读取多记忆
作者:
Leppaniemi J.
;
Fukuda N.
;
Alastalo A.
;
Mattila T.
;
Eiroma K.
;
Kololuoma T.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
PROM;
conductors (electric);
electric fuses;
nanoparticles;
sintering;
write-once storage;
antifuse operation;
electrical sintering;
fuse-type WORM memory;
low-bit count low-complexity printed electronic system;
nanoparticle;
printed battery;
printed conductor;
printed low-voltage programmable write-once-read-many-memory;
reverse offset printing fabrication;
Conductors;
Grippers;
Ink;
Nanoparticles;
Printing;
Substrates;
Writing;
11.
Drawbacks of the nanoparticle reinforced lead-free BGA solder joints
机译:
纳米粒子增强无铅BGA焊点的缺点
作者:
Huayu Sun
;
Chan Y.C.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
ball grid arrays;
bismuth alloys;
nanoparticles;
silver alloys;
solders;
tin alloys;
CNTs-doped solder paste;
IMCs;
SnBiAg;
aging;
ball shear test;
mechanical properties;
mechanical stirring;
nanoparticle reinforced lead-free BGA solder joints;
nanoparticle reinforced solder joint phenomenon degradation;
quantitative experiment loss;
redistribution phenomenon detection;
solder ball;
solder flux outward flow;
time 100 h;
Aging;
Degradation;
Lead;
Mechanical factors;
Nanoparticles;
Soldering;
12.
Integrated printed hybrid electronics on paper
机译:
纸上集成印刷的混合电子产品
作者:
Sandberg H.G.O.
;
Gaspar C.
;
Hakola L.
;
Rentrop C.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
coating techniques;
modules;
paper technology;
printed circuits;
surface mount technology;
ROPAS project;
SMD component;
additive printing;
basic electronic function;
coating technique;
electronic antitampering indicator;
electronic module;
functional electronic circuit hybrid manufacturing method;
integrated printed hybrid electronics;
material cost;
paper;
reel to reel production;
traditional converting technology;
Batteries;
Ink;
Light emitting diodes;
Printing;
Resistors;
Security;
Substrates;
13.
Thermal management: A key point for the integration in solid state lighting systems
机译:
热管理:固态照明系统集成的关键点
作者:
Gasse A.
;
Corfa A.
;
Bernabe S.
;
Aitmani N.
;
Lhermet N.
;
Henry D.
;
Alibert P.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
LED lamps;
finite element analysis;
infrared imaging;
thermal analysis;
thermal management (packaging);
thermal resistance;
transient analysis;
ANSYS;
LED dies;
LED light engines;
LED light flux;
LED-based systems;
board types;
chip on board technology;
discrete thermal resistances;
heat transfer mechanisms;
infrared thermography;
junction temperature;
numerical finite elements modelling;
package configurations;
solid state lighting systems;
thermal management;
transient thermal analysis;
Electrical resistance measurement;
Light emitting diodes;
Materials;
Temperature measurement;
Thermal conductivity;
Thermal resistance;
14.
Investigations on advanced soldering mechanisms for transient liquid phase soldering (TLPS) in power electronics
机译:
电力电子技术瞬态液相焊接(TLPS)先进焊机机理的研究
作者:
Syed-Khaja A.
;
Franke J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper alloys;
reflow soldering;
tin alloys;
voids (solid);
Cult;
subgt;
3lt;
/subgt;
Sn;
Cult;
subgt;
6lt;
/subgt;
Snlt;
subgt;
5lt;
/subgt;
interconnections;
intermetallic phase formation;
over-pressure convection soldering;
power electronics;
reflow soldering mechanisms;
size 15 mum to 20 mum;
transient liquid phase soldering;
vacuum vapor-phase soldering;
Joints;
Power electronics;
Soldering;
Standards;
Substrates;
Temperature;
TLPS;
die-attach;
over-pressure convection soldering;
thin solder layers;
vacuum vapor-phase soldering;
15.
Cu pillar FC ecosystem — Technologies for the masses
机译:
Cu Pillar FC生态系统 - 群众的技术
作者:
Marwan Wang
;
Chienfan Chen
;
Chang J.
;
Cheung C.
;
Chen W.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
ball grid arrays;
copper alloys;
flip-chip devices;
integrated circuit interconnections;
BGA technology;
Cu;
flip chip copper pillar CSP;
high volume manufacturing technology;
packaging industry ecosystem;
Chip scale packaging;
Ecosystems;
Flip-chip devices;
Industries;
Packaging;
Substrates;
Technological innovation;
16.
Strategies for glass based photonic system integration
机译:
基于玻璃光子系统集成的策略
作者:
Schroder H.
;
Brusberg L.
;
Bottger G.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
integrated optics;
micro-optics;
optical glass;
optical interconnections;
packaging;
beam-splitting components;
board level packaging;
glass based photonic system integration;
interposer;
isolators;
laser diodes;
micro lenses;
micro-optical components;
modulators;
optical fibers;
optical interconnection;
opto-electronic components;
photonic packaging;
thin glass;
Glass;
Integrated optics;
Optical fibers;
Optical films;
Optical interconnections;
17.
Characterization of adhesives for microelectronic industry in DMA and relaxation experiments for interfacial fracture toughness characterization — Difficulties and solution
机译:
微电子工业粘合剂表征DMA和裂缝实验中的界面裂缝韧性表征 - 困难与溶液
作者:
Maus I.
;
Preu H.
;
Niessner M.
;
Nabi H.
;
Jansen K.M.B.
;
Pantou R.
;
Weiss L.
;
Michel B.
;
Wunderle B.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
conductive adhesives;
failure analysis;
fracture mechanics;
fracture toughness;
integrated circuit reliability;
relaxation;
semiconductor technology;
μMMT;
DMA;
ICA;
cohesive zone modeling;
critical energy release rate;
critical interface fracture data;
dynamic mechanical analysis;
electrically conductive adhesive;
epoxy based die attach material;
failure modeling;
interfacial fracture toughness characterization;
isotropic conductive adhesive;
lifetime prediction;
material characterization;
measurement method;
microelectronic industry;
micromixed mode tester;
relaxation experiment;
semiconductor technology;
viscoelastic material model;
Analytical models;
Force;
Frequency measurement;
Materials;
Polynomials;
Strain;
Temperature measurement;
18.
Local residual stresses in tungsten coated TSVs characterized by synchrotron X-ray nanodiffraction and Raman spectroscopy
机译:
钨涂层TSV的局部残留应力,其特征是同步X射线纳米二聚 - 重和拉曼光谱的特征
作者:
Defregger S.
;
Steffenelli M.
;
Deluca M.
;
Maier G.
;
Sartory B.
;
Burghammer M.
;
Kraft J.
;
Carniello S.
;
Keckes J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
Raman spectroscopy;
elemental semiconductors;
integrated circuit interconnections;
integrated circuit metallisation;
silicon;
synchrotrons;
thermal expansion;
thermal stresses;
thin film devices;
three-dimensional integrated circuits;
tungsten;
Raman spectroscopy;
Si;
TSV metallization;
W;
degradation effects;
local residual stresses;
mechanical constrains;
ripple-like morphology;
spatial resolution;
stress magnitude oscillations;
stress state;
synchrotron X-ray nanodiffraction;
tensile residual stresses;
thermal constrains;
thermal expansion;
thin film;
three-dimensional integration possess;
through silicon vias;
via wall;
wafer interconnection;
Residual stresses;
Silicon;
Synchrotrons;
Tungsten;
X-ray diffraction;
19.
Photoelectrical and microphysical properties of Sol-Gel derived IGZO thin films for printed TFTs
机译:
溶胶 - 凝胶衍生的IGZO薄膜用于印刷TFT的光电和微神科性质
作者:
Matsuo T.
;
Sugahara T.
;
Hirose Y.
;
Jiu J.
;
Nagao S.
;
Suganuma K.
;
Jianying He
;
Zhiliang Zhang
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
electrical conductivity;
sol-gel processing;
thin film transistors;
vacuum deposition;
TCO semiconductor layer films;
electrical conductivity;
microphysical properties;
optical transmittance;
photoelectrical properties;
printed TFT;
sol-gel method;
solution-based methods;
stack layer transparent conductive IGZO thin films;
thin-film transistors;
transparent conducting oxides;
ultra-high vacuum deposition;
Chemicals;
Coatings;
Conductivity;
Films;
Substrates;
Thin film transistors;
Zinc oxide;
20.
Reliability of carbon nanotube bumps for chip on glass application
机译:
玻璃应用芯片碳纳米管凸块的可靠性
作者:
Xiaogang Fan
;
Xiaolei Li
;
Wei Mu
;
Di Jiang
;
Shirong Huang
;
Yifeng Fu
;
Yan Zhang
;
Johan Liu
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
adhesive bonding;
carbon nanotubes;
contact resistance;
glass;
integrated circuit interconnections;
reliability;
C-Si;
SiOlt;
subgt;
2lt;
/subgt;
anisotropic conductive adhesive;
carbon nanotube bumps;
chip on glass application;
contact resistance;
damp heat tests;
glass substrate;
interconnection;
paper-mediated controlled method;
pressure 127.4 MPa;
reliability;
silicon chip;
temperature 170 degC;
thermal cycling;
time 8 s;
Carbon nanotubes;
Contact resistance;
Glass;
Reliability;
Resistance heating;
Substrates;
21.
Electronics packaging technologies for the volume integration in components for medical tools and instruments
机译:
电子包装技术,用于医疗工具和仪器组件中的批量集成
作者:
Detert M.
;
Schmidt B.
;
Wittig F.
;
Wagner D.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
biomedical electronics;
electronics packaging;
electronics packaging technologies;
form factor;
functional catheter tip;
influence factors;
interdisciplinary approach;
medical instruments;
medical product;
medical tools;
microsystems technology;
miniaturized catheter tip;
volume integration;
Catheters;
Cavity resonators;
Instruments;
Materials;
Medical diagnostic imaging;
Reliability;
Shape;
22.
Methodology based on experiments and 3-D EM simulations for frequency characterization of buried capacitors
机译:
基于实验和3-D EM模拟的方法,用于埋入电容器的频率特性
作者:
Wade M.
;
Bord-Majek I.
;
Dubois T.
;
Duchamp G.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
capacitors;
permittivity;
3D EM simulation;
3D electromagnetic simulation;
dielectric permittivity;
electronic circuit;
embedded buried capacitor technology;
frequency characterization;
parallel configuration;
parasitic inductance reduction;
size reduction;
Capacitors;
Frequency measurement;
Permittivity;
Permittivity measurement;
Resonant frequency;
23.
Investigations regarding variations of material properties and their impact on lifetime prediction for Cu-vias in circuit boards
机译:
关于材料特性变化及其对电路板CU-VIA寿命预测的影响的研究
作者:
Abali B.E.
;
Lofink P.
;
Muller W.H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper;
fatigue cracks;
finite element analysis;
materials properties;
plasticity;
printed circuits;
vias;
Cu;
circuit board failure;
copper-vias;
cracking;
fatigue;
finite element method;
irreversible behavior;
lifetime prediction;
material property variation;
plastic energy dissipation;
thermal loading;
Copper;
Fatigue;
Integrated circuit modeling;
Loading;
Plastics;
Strain;
24.
GaN/SiC MMICs and packaging for use in future transmit / receive modules
机译:
GaN / SIC MMIC和包装用于将来的传输/接收模块使用
作者:
Oppermann M.
;
Thurow F.
;
Bunz B.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
MMIC;
ceramic packaging;
electronics packaging;
gallium compounds;
modules;
printed circuits;
silicon compounds;
surface mount technology;
transceivers;
GaN;
L/HTCC technology;
MMIC;
PCB;
QFN;
RF interface;
SMD based electronic product;
SiC;
T/R module design;
ceramic package;
gallium nitride;
low/high temperature cofired ceramic;
monolithic microwave integrated circuit;
multifunctional sensor;
packaging technology;
power device;
printed circuit board;
quad flat no-lead;
transmit/receive module;
Gallium nitride;
MMICs;
Ports (Computers);
Radar antennas;
Radio frequency;
Spaceborne radar;
25.
Comparison between ENA and ENEPIG surface finish for high density TBGA package
机译:
高密度TBGA封装ENA和ENEPIG表面光洁度的比较
作者:
Pun K.
;
Islam M.N.
;
Tin Wing Ng
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
ball grid arrays;
brittle fracture;
copper alloys;
ductile fracture;
gold alloys;
lead bonding;
nickel alloys;
palladium alloys;
reflow soldering;
shear strength;
solders;
surface finishing;
Cu-Ni-Pd-Au;
ENA surface finish;
ENEPIG surface finish;
ball shear testing;
brittle fracture;
columnar IMCs;
ductile fracture;
electroless nickel-electroless palladium-immersion gold;
electronic products;
extended reflow soldering;
high density TBGA package;
long-term reliability;
shear strengths;
solder joint quality;
solder system;
temperature 245 degC;
wire bondability;
Gold;
Nickel;
Reliability;
Soldering;
Surface cracks;
Surface finishing;
26.
Thermal stability of electroless nickel/immersion gold surface finish for direct bond copper
机译:
无电镀镍/浸渍金表面光洁度的热稳定性直接粘接铜
作者:
Min-Su Kim
;
Nishikawa H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper;
crystallisation;
electroless deposited coatings;
electroplating;
gold;
nickel;
phase transformations;
substrates;
surface finishing;
thermal stability;
Cu;
DBC substrate;
ENIG plating;
air condition;
crystallization;
direct bond copper;
electroless nickel/immersion gold surface finish;
nanocrystalline nickel;
phase transformation;
phosphorus;
temperature 250 C;
thermal stability;
thermal storage test;
Aging;
Crystallization;
Gold;
Nickel;
Surface finishing;
Surface morphology;
Thermal stability;
27.
Nano-porous structure control under electrodeposition and dealloying conditions for low-temperature bonding
机译:
电沉积下纳米多孔结构控制和低温粘合的造成造影条件
作者:
Saito M.
;
Matsunaga K.
;
Mizuno J.
;
Nishikawa H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
alloying;
annealing;
bonding processes;
dissolving;
electrodeposition;
gold alloys;
low-temperature techniques;
mass spectra;
nanoporous materials;
silver alloys;
thin films;
Au-Ag;
ICP-MS analysis;
anodic current;
as-deposited samples;
bond strength;
dealloying conditions;
electrochemical deposition;
electrode surfaces;
electrodeposited films;
inductively coupled plasma mass spectrometry;
low-temperature bond formation process;
nanoporous structure control;
selective dissolution;
size 10 nm to 20 nm;
small ligament size;
temperature 150 degC;
temperature 50 degC;
Annealing;
Electric potential;
Films;
Gold;
Ligaments;
Nanostructures;
28.
Influence of curing conditions on mechanical properties and reliability of the interconnects made by ICA for printed electronics with micro additives
机译:
用微量添加剂对印刷电子产品进行互连机械性能和可靠性的影响
作者:
Koscielski M.
;
Sitek J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
adhesives;
curing;
flexible electronics;
integrated circuit interconnections;
integrated circuit reliability;
ICA;
conductive adhesives;
curing conditions;
flexible substrate;
interconnects;
mechanical properties;
microadditives;
printed electronics;
reliability;
Conductive adhesives;
Consumer electronics;
Curing;
Radiofrequency identification;
Silver;
Substrates;
29.
Study on influence of silicone encapsulant for ceramic LED package after HTOL test
机译:
HTOL试验后陶瓷LED封装有机硅密封剂对陶瓷LED封装的影响研究
作者:
Jemin Kim
;
Byungjin Ma
;
Kwanhun Lee
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
Raman spectra;
adhesive bonding;
ceramic packaging;
encapsulation;
light emitting diodes;
phosphors;
silicones;
spectrophotometers;
spectrophotometry;
thermal stresses;
HTOL testing;
Raman analysis;
UV-VIS spectrophotometer;
bonding adhesive;
ceramic LED packaging;
high temperature operational life testing;
integrating sphere;
luminous flux measurement;
optical stress testing;
phosphor;
silicone encapsulant;
silicone samples transmittance;
test jig design;
thermal stress testing;
time 250 hour;
wavelength 300 nm to 400 nm;
Bonding;
Ceramics;
Electronic packaging thermal management;
Light emitting diodes;
Optical refraction;
Stress;
Thermal stresses;
30.
Relationship between bonding conditions and strength for joints using a Au nanoporous sheet
机译:
使用Au纳米孔板粘接条件与强度的关系
作者:
Matsunaga K.
;
Kim M.-S.
;
Nishikawa H.
;
Saito M.
;
Mizuno J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bonding processes;
gold;
nanoporous materials;
shear strength;
silver;
NPB;
bonding condition;
electronics industry;
gold nanoporous sheet;
gold-silver binary alloy;
high-temperature bonding process;
high-temperature electronic applications;
high-temperature lead-free solders;
interconnection technology;
lead-free interconnection material;
nanoporous bonding;
nanoporous sheet;
nitric acid;
no-solvent no-flux solid-state bonding technique;
selective element dissolution;
shear strength;
temperature 350 degC;
Bonding;
Gold;
Joints;
Ligaments;
Nitrogen;
Substrates;
31.
Packaging of thin film thermoelectric generators for autonomous sensor nodes
机译:
自主传感器节点薄膜热电发电机的包装
作者:
Zoller T.
;
Ehrenpfordt R.
;
Gavrikov A.
;
Nurnus J.
;
Kuck H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bending;
electronics packaging;
energy harvesting;
sensors;
thermoelectric conversion;
thin film devices;
Internet of Things;
IoT;
TEG packaging;
assembly;
autonomous sensor nodes;
coefficient-of-thermal expansion mismatch;
electrical shutdown;
energy harvesting application;
four-line bending test;
internal electrical resistance;
mechanical shutdown;
mechanical stability;
mechanical stress;
mechanical tests;
packaging process;
shear force stability;
shear force test apparatus;
standard molded LGA sensor package;
standard molded land grid array sensor package;
stress decoupling thermal adhesives;
thin-film thermoelectric generator packaging;
typical warpage;
Conductivity;
Electrical resistance measurement;
Force;
Generators;
Standards;
Thermal conductivity;
Thermal stability;
32.
Challenges for thermal management and production technologies in concentrating photovoltaic (CPV) modules
机译:
浓缩光伏(CPV)模块的热管理和生产技术的挑战
作者:
Wiesenfarth M.
;
Gamisch S.
;
Dorsam T.
;
Bett A.W.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
solar cells;
thermal management (packaging);
bypass diodes integration;
concentrating photovoltaics solar radiation;
cooled system;
electronic industry;
high heat flux;
photovoltaic modules;
solar cell architectures;
thermal management;
vacuum soldering;
wire bonding;
Lenses;
Photovoltaic cells;
Photovoltaic systems;
Receivers;
Solar radiation;
33.
Compression molding solutions for wafer level, large panel substrate, and advanced packaging
机译:
用于晶片级,大面板基板和先进包装的压缩成型解决方案
作者:
Claassen H.
;
Molenaar B.V.P.
;
Miura M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
ball grid arrays;
compression moulding;
wafer level packaging;
BGA type substrates;
LED;
QFN;
advanced semiconductor packages;
compression molding technology;
large panel substrate packaging;
leadframes;
mass production;
regular MAP packages;
substrate molding;
wafer level molding;
wafer level packaging;
Compounds;
Compression molding;
Films;
Presses;
Substrates;
Transfer molding;
Wires;
34.
Development of TLP joining technique for fabrication of vertical interconnections in TSV stacking
机译:
TLP加入技术在TSV堆叠中垂直互连制造技术
作者:
Denteneer R.P.J.
;
Krassenburg L.C.P.
;
Brom J.H.G.
;
Biglari M.H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper alloys;
electrodeposition;
integrated circuit bonding;
integrated circuit interconnections;
integrated circuit metallisation;
integrated circuit packaging;
nickel alloys;
soldering;
three-dimensional integrated circuits;
tin alloys;
Cu-Ni-Sn;
TLP joining technique;
TSV stacking;
die-attach operations;
direct Cu/Cu bonding;
electrochemical deposition;
elevated temperatures;
environmentally friendly metallic interconnection systems;
high-lead soldering;
high-temperature soldering;
metallization constituents;
metallization materials;
packaging technology;
reaction phenomena;
through silicon vias technology;
transient liquid-phase bonding;
vertical interconnection fabrication;
Annealing;
Bonding;
Intermetallic;
Nickel;
Through-silicon vias;
Tin;
35.
Development of a robust, ceramic MEMS-package for hermetically sealed and highly shock-resistant SMD-devices
机译:
用于气密密封和高抗冲击性SMD器件的稳健,陶瓷MEMS包装的开发
作者:
Goldberg A.
;
Ihle M.
;
Ziesche S.
;
Kulls R.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
ceramic packaging;
micromechanical devices;
surface mount technology;
ceramic MEMS-package;
electrical wiring;
gas-tight sealing;
hermetically sealed SMD-devices;
high-g acceleration sensor;
highly shock-resistant SMD-devices;
low-temperature cofired ceramic technology;
surface mount device;
Acceleration;
Aerosols;
Bonding;
Ceramics;
Glass;
Micromechanical devices;
Printing;
36.
Use of graphene-based films for hot spot cooling
机译:
使用石墨烯的薄膜进行热点冷却
作者:
Yong Zhang
;
Pengtu Zhang
;
Nan Wang
;
Yifeng Fu
;
Johan Liu
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
chemical vapour deposition;
cooling;
graphene;
optoelectronic devices;
thermal conductivity;
CVD;
atomic layer;
chemical conversion process;
chemical exfoliation;
chemical oxidation;
chemical reduction;
chip surface;
electronics;
graphene-based films;
heat dissipation;
heat removal;
heat-spreading ability;
high power density devices;
hot spot cooling;
hot spot temperature;
in-plane thermal conductivity;
large-scale fabrication;
optoelectronics;
power loading;
test platform;
thermal management;
Chemicals;
Conductivity;
Films;
Graphene;
Heating;
Thermal conductivity;
37.
Alternative integration scheme for half-bridge switch using double etched Si3N4 substrate
机译:
双桥接Si3N4基板半桥开关的替代集成方案
作者:
Solomon A.K.
;
Castellazzi A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
elemental semiconductors;
flip-chip devices;
power semiconductor switches;
semiconductor diodes;
silicon;
silicon compounds;
Silt;
subgt;
3lt;
/subgt;
Nlt;
subgt;
4lt;
/subgt;
alumina ceramic substrates;
bespoke switch design;
device stacking topology;
diodes;
double etched substrate;
electromagnetic performance;
flipchip;
half-bridge switch;
integration scheme;
interconnection pattern;
loop current;
parasitic inductance;
sandwich package benefits;
silicon IGBT;
silicon nitride;
size 70 mum;
thermal conductivity;
wire bond;
Assembly;
Inductance;
Insulated gate bipolar transistors;
Substrates;
Switches;
Switching circuits;
Transistors;
38.
Novel glass welding technique for hermetic encapsulation
机译:
用于气密封装的新型玻璃焊接技术
作者:
Lunden H.
;
Kumpulainen T.
;
Matanen A.
;
Vihinen J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
encapsulation;
glass;
welding;
glass samples;
hermetic encapsulation;
hermetic room temperature glass welding technology;
mechanical properties;
rapid temperature changes;
temperature 293 K to 298 K;
temperature coefficients;
temperature cycling test;
Bonding;
Glass;
Lasers;
Reliability;
Temperature;
Welding;
glass to glass bonding;
hermetic;
laser microwelding;
room temperature welding;
temperature cycling test;
39.
Nano-SiC added Ag paste sintering die-attach for SiC power devices
机译:
纳米SIC添加AG粘贴烧结模具安装SIC电源装置
作者:
Hao Zhang
;
Nagao S.
;
Park S.
;
Koga S.
;
Sugahara T.
;
Suganuma K.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
microassembling;
nanoparticles;
power semiconductor devices;
silicon compounds;
silver;
sintering;
wide band gap semiconductors;
Ag;
Ag paste;
SiC;
bonding strength;
die attachment;
direct bonding;
low pressure sintering;
low temperature sintering;
nanoparticles;
organic solvent;
power devices;
Bonding;
Conductivity;
Joints;
Resistance;
Silicon carbide;
Substrates;
40.
Printed intelligence for consumer products
机译:
用于消费品的印刷智能
作者:
Hakola L.
;
Gaspar C.
;
Ilmonen A.
;
Lehtinen K.
;
Smolander M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
capacitive sensors;
consumer products;
flexible electronics;
humidity sensors;
intelligent sensors;
consumer products;
cost-effectiveness;
disposability;
low material consumption;
mass-scale fast manufacturing processes;
paper substrate;
plastic substrates;
printed humidity sensors;
printed intelligence;
recyclability;
Humidity;
Ink;
Intelligent sensors;
Printing;
Substrates;
Temperature sensors;
41.
Carbon nanotube/solder hybrid structure for interconnect applications
机译:
用于互连应用的碳纳米管/焊料混合结构
作者:
Di Jiang
;
Shuangxi Sun
;
Wei Mu
;
Yifeng Fu
;
Johan Liu
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
carbon nanotubes;
chemical vapour deposition;
copper;
electric resistance;
gold;
interconnections;
solders;
tin;
CNT resistivity;
Sn-Au-Cu;
TCVD;
carbon nanotube;
electrical resistance;
interconnect reliability;
solder hybrid bump structure;
solder spheres;
thermal chemical vapor deposition;
Carbon nanotubes;
Electrical resistance measurement;
Filling;
Reliability;
Resistance;
Substrates;
42.
Flip-chip bonding of fine-pitch ultra-thin chips for SiF applications
机译:
用于SIF应用的细间距超薄芯片的倒装芯片键合
作者:
Kusters R.H.L.
;
Sridhar A.
;
Cauwe M.
;
van den Brand J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
adhesive bonding;
conductive adhesives;
electroforming;
flip-chip devices;
integrated circuit interconnections;
integrated circuit reliability;
life testing;
thermal shock;
Ag;
B-stage curing ICA;
SiF;
accelerated humidity testing;
bond pad pitch;
bonding force;
electroformed stencil;
fine-pitch ultra-thin chips;
flip-chip bonding;
interconnection resistance measurements;
isotropic conductive adhesives;
printed circuitry;
standard reliability test procedures;
thermal shock testing;
Bonding;
Curing;
Flip-chip devices;
Integrated circuit interconnections;
Printing;
Resistance;
43.
Cost and yield analysis of multi-die packaging using 2.5D technology compared to fan-out wafer level packaging
机译:
与扇出晶圆级包装相比,使用2.5D技术使用2.5D技术的多模包装成本及产量分析
作者:
Palesko C.
;
Palesko A.
;
Vardaman E.J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
elemental semiconductors;
sensitivity analysis;
silicon;
wafer level packaging;
2.5D technology;
IO number;
Si;
advanced packaging technology;
close proximity;
cost analysis;
cumulative yield loss cost;
design characteristics;
die number;
die size;
die-to-die interconnection;
electronic products;
multidie fan-out wafer level packaging;
multiple-die packaging;
package size;
packaging cost drivers;
product requirements;
sensitivity analysis;
silicon interposer;
traditional packaging;
yield analysis;
Fabrication;
Packaging;
Silicon;
Substrates;
Three-dimensional displays;
Wafer scale integration;
44.
Comparison of different power cycling strategies for accelerated lifetime testing of power devices
机译:
不同功率循环策略对电力设备的加速寿命测试的比较
作者:
Sarkany Z.
;
Vass-Varnai A.
;
Rencz M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
insulated gate bipolar transistors;
power bipolar transistors;
semiconductor device reliability;
semiconductor device testing;
IGBT modules;
accelerated lifetime testing;
electrical parameters;
power cycling strategies;
power devices;
reliability;
thermal transient;
Degradation;
Heating;
Insulated gate bipolar transistors;
Junctions;
Temperature measurement;
Transient analysis;
Wires;
45.
Understanding delamination for fast development of reliable packages for automotive applications. A consideration of adhesion by interlocking and anchoring
机译:
了解Speramination以快速开发汽车应用的可靠包。通过互锁和锚定考虑粘附
作者:
Pufall R.
;
Goroll M.
;
Reuther G.M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
acoustic microscopy;
adhesion;
automotive electronics;
delamination;
semiconductor device packaging;
semiconductor device reliability;
thermal expansion;
CTE-temperature variation mismatch;
SAM;
adhesion;
automotive application;
coefficient-of-thermal expansion;
delamination;
delamination risk reduction;
interface topology;
interfacial stress reduction;
liquid-liquid cycling;
package reliability development;
scanning acoustic microscopy;
semiconductor component reliability;
specific cycling conditions;
temperature -65 degC;
temperature 175 degC;
temperature cycling stress tests;
temperature influence;
thermo-mechanical stress;
Adhesives;
Compounds;
Delamination;
Materials;
Robustness;
Stress;
46.
Development of underfilling and thermo-compression bonding processes for stacking multi-layer 3D ICs
机译:
用于堆叠多层3D IC的底层和热压缩过程的研制
作者:
Teng Wang
;
Daily R.
;
Capuz G.
;
Gerets C.
;
Rebibis K.J.
;
Miller A.
;
Beyer G.
;
Beyne E.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
tape automated bonding;
three-dimensional integrated circuits;
TCB process;
TSV-to-bump bonding schemes;
WLUF;
bump-to-bump bonding schemes;
daisy chains;
electrical yield;
multilayer thinned chips;
pitch micro joints;
process development;
size 5 mum;
size 50 mum;
stacked layers;
stacking multilayer 3D IC;
thermocompression bonding processes;
through-silicon vias;
vertical collective bonding method;
wafer-level underfill;
Assembly;
Bonding;
Joints;
Materials;
Stacking;
Three-dimensional displays;
Through-silicon vias;
47.
Modelling of printable metal-oxide TFTs for circuit simulation
机译:
电路仿真印刷金属氧化物TFT的建模
作者:
Alastalo A.
;
Ojanpera J.L.K.
;
Majumdar H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
circuit simulation;
semiconductor device models;
thin film transistors;
AC properties;
analogue printed circuits;
circuit simulation;
compact device models;
digital printed circuits;
four-transistor flip-flop circuit;
inorganic metal-oxide materials;
organic metal-oxide materials;
printable metal-oxide TFT modelling;
solution processed metal oxides;
solution processing;
sputtering;
statistical variations;
thin film transistors;
Electrodes;
Integrated circuit modeling;
Logic gates;
Materials;
Mathematical model;
Semiconductor device measurement;
Thin film transistors;
48.
Reliability analysis of electronic assemblies using electrically conductive adhesive for high-reliability and Harsh environment applications
机译:
用于高可靠性和苛刻环境应用的电导电粘合剂的电子组件可靠性分析
作者:
Lecavelier des Etangs-Levallois A.
;
Grivon A.
;
Baudet D.
;
Maia W.C.
;
Brizoux M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
assembling;
conductive adhesives;
interconnections;
printed circuits;
reliability;
thermal stresses;
ECA second level interconnects characterization;
PCB;
component finishing;
electrically conductive adhesives;
electronic assemblies;
harsh environment applications;
high-reliability applications;
long-term electrical properties stability;
soldering temperature;
thermal stress;
thermomechanical stress;
Assembly;
Electrical resistance measurement;
Electronics packaging;
Joints;
Reliability;
Thermal resistance;
49.
Development of low contact resistance interconnection for display applications
机译:
显示应用的低接触电阻互连的开发
作者:
Haksun Lee
;
Yong-Sung Eom
;
Hyun-Cheol Bae
;
Kwang-Seong Choi
;
Jin Ho Lee
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bismuth;
bonding processes;
conducting materials;
contact resistance;
display instrumentation;
flip-chip devices;
interconnections;
melting;
reliability;
solders;
tin;
4-point probe method;
ACF;
Bi-Sn;
anisotropic conductive film;
display interconnection mechanism;
distance 16.4 mum;
flip chip bonding;
fluxing underfill method;
low contact resistance interconnection;
low melting point;
low temperature bonding application;
maskless solder-on-pad technology;
moisture absorption testing;
reliability testing;
solder bumping;
solder paste material;
solder-bump-maker;
time 100 hour;
top die;
Contact resistance;
Flip-chip devices;
Materials;
Metals;
Reliability;
Temperature measurement;
Vehicles;
50.
Reliability investigations of large die wafer level packages: Optimization of package structure and materials to improve board level reliability
机译:
大模芯片套件的可靠性调查:优化包装结构和材料,以提高板级可靠性
作者:
Jarn M.
;
Hsieh C.-A.
;
Yu-Chi Pai
;
Tsaiying Wang
;
Hunt J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
integrated circuit reliability;
wafer level packaging;
WLP components;
board level reliability improvement;
bump metallization;
die thickness;
drop test conditions;
dummy wafer;
electronic components;
large die test vehicle;
large die wafer level packages;
mobile devices;
package materials;
package structure;
polymer passivation layers;
polymer passivation thickness;
redistribution trace thickness;
solder balls;
temperature cycling;
Copper;
Numerical models;
Polymers;
Semiconductor device reliability;
Standards;
Vehicles;
51.
Towards wireless neural electrodes: System-integration for stimulating and recording of nerve signals
机译:
朝向无线神经电极:用于刺激和记录神经信号的系统集成
作者:
Varga M.
;
Schulz K.
;
Taschwer A.
;
Wolter K.-J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
application specific integrated circuits;
bioelectric phenomena;
biological tissues;
biomedical electrodes;
data communication;
medical signal processing;
neurophysiology;
packaging;
ASIC;
biocompatible materials;
data transmission;
electronic packaging design;
hook-up electrode;
nerve signal recording;
nerve signal stimulation;
neural electrical recording;
neural electrical stimulation;
system-integration;
system-integration approach;
telemetric power transmission;
toxic tissue reactions;
transponder principle;
wireless neural electrodes;
wireless system;
Application specific integrated circuits;
Coils;
Communication system security;
Electrodes;
Receivers;
Wireless communication;
Wireless sensor networks;
52.
Reliability performance of Au-Sn and Cu-Sn wafer level SLID bonds for MEMS
机译:
MEMS的AU-SN和Cu-Sn晶圆级滑动键的可靠性性能
作者:
Xu H.
;
Rautiainen A.
;
Vuorinen V.
;
Osterlund E.
;
Suni T.
;
Heikkinen H.
;
Monnoyer P.
;
Paulasto-Krockel M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bonding processes;
chemical interdiffusion;
copper;
encapsulation;
failure analysis;
fatigue testing;
gold;
micromechanical devices;
nickel;
reliability;
seals (stoppers);
shear strength;
stress analysis;
tensile strength;
tensile testing;
thermal shock;
thermomechanical treatment;
tin;
wafer level packaging;
Au-Sn-Ni;
Cu-Sn;
HTS testing;
MEMS device encapsulation;
MFG testing;
TS testing;
high temperature storage testing;
mechanical absorbing;
mechanical strength;
mixed flow gas testing;
reliability performance;
shear fatigue testing;
shear-tensile testing;
solid-liquid interdiffusion;
thermal shock testing;
thermomechanical stress;
wafer level SLID bond;
Bonding;
Fatigue;
Materials;
Micromechanical devices;
Reliability engineering;
Semiconductor device reliability;
53.
Low-cost electrical measurement systems for reliability testing
机译:
可靠性测试的低成本电气测量系统
作者:
Oppermann M.
;
Albrecht O.
;
Klemm A.
;
Zerna T.
;
Wolter K.-J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
acoustic microscopy;
assembling;
electron device testing;
measurement systems;
reflow soldering;
reliability;
Fraunhofer Institutes;
German organization;
LED;
SAM;
TDMA;
Technische Universita?t Dresden;
Thermal induced Damage Mechanisms;
X-ray inspections;
ZVEI;
active components;
blue tooth antennas;
capacitors;
critical components;
electrical degradation;
electronic assemblies;
inductors;
lead-free interfaces;
low melting solder pastes;
low-cost electrical measurement systems;
manual soldering;
measurement systems;
microprocessors;
production processes;
relays;
reliability testing;
scanning acoustic microscopy;
selective soldering;
solder joints;
standard lead-free reflow soldering process;
structural damages;
Brightness;
Current measurement;
Light emitting diodes;
Reliability;
Soldering;
Temperature measurement;
Voltage measurement;
54.
Smart textile-based protective system for firefighters
机译:
用于消防员的智能纺织品保护系统
作者:
Soukup R.
;
Blecha T.
;
Hamacek A.
;
Reboun J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
body area networks;
humidity measurement;
intelligent materials;
materials science computing;
protective clothing;
temperature measurement;
textiles;
wide area networks;
BAN;
BCU;
CPU;
WAN;
acoustic alarm;
automatic routing algorithm;
body area network;
body control unit;
central processing unit;
combustible gases;
e-textile wiring harnesses;
firefighter protective suit;
firefighters;
hazardous conditions;
heart rate;
integrated sensor modules;
relative humidity;
smart textile-based protective system;
toxic gase;
wide area network;
Central Processing Unit;
Fires;
Humidity;
Temperature measurement;
Temperature sensors;
Testing;
Wide area networks;
55.
Glass isolated TSVs for MEMS
机译:
玻璃孤立的tsvs为mems
作者:
Kuisma H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
accelerometers;
getters;
gyroscopes;
integrated circuit interconnections;
isolation technology;
machining;
micromechanical devices;
three-dimensional integrated circuits;
wafer bonding;
MEMS;
aging;
electrodes;
gettering;
glass isolated TSVs;
glass surface;
gyrosensors;
humidity effects;
interconnections;
mechanical machining;
multiaxis accelerometers;
wafer bonding;
wafer-to-wafer isolation;
Encapsulation;
Glass;
Micromechanical devices;
Sensors;
Silicon;
Surface treatment;
Through-silicon vias;
56.
Cost components for 3D system integration
机译:
3D系统集成的成本组件
作者:
Velenis D.
;
Detalle M.
;
Van Huylenbroeck S.
;
Jourdain A.
;
Phommahaxay A.
;
Slabbekoorn J.
;
Teng Wang
;
Marinissen E.J.
;
Rebibis K.J.
;
Miller A.
;
Beyer G.
;
Beyne E.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
integrated circuit manufacture;
three-dimensional integrated circuits;
3D process flows;
3D system integration;
D2W stacking;
W2W stacking;
cost components;
interposer-based stacking;
prestack testing;
processing yield;
semiconductor industry;
stacked active dies;
CMOS integrated circuits;
Compounds;
Integrated circuit interconnections;
Stacking;
Substrates;
Testing;
Three-dimensional displays;
57.
Reflow process optimization for micro-bumps applications in 3D technology
机译:
3D技术微凸块应用的回流过程优化
作者:
Derakhshandeh J.
;
De Preter I.
;
England L.
;
Schmid D.
;
Slabbekoorn J.
;
Vakanas G.
;
Teng Wang
;
Beyer G.
;
Beyne E.
;
Marinissen E.J.
;
Rebibis K.J.
;
Lerch W.
;
Miller A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
circuit optimisation;
fine-pitch technology;
integrated circuit interconnections;
integrated circuit modelling;
integrated circuit packaging;
reflow soldering;
three-dimensional integrated circuits;
3D technology;
bump height variations;
commercial reflow ovens;
fine-pitch microbumps;
mathematical model;
reflow process optimization;
reflow profile parameters;
wafer;
Inspection;
Mathematical model;
Nickel;
Ovens;
Shape;
Stacking;
Tin;
58.
Roll-to-roll paper sensors (ROPAS); Wireless communicating sensors on paper in the logistic chain
机译:
卷纸纸传感器(ROPAS);无线通信在逻辑链中纸上的传感器
作者:
Rentrop C.
;
Rubingh E.
;
Lelieveld R.
;
Sandberg H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
conducting materials;
humidity sensors;
ink;
ink jet printing;
logistics;
nanocomposites;
nanofabrication;
nanoporous materials;
paper;
security;
wireless sensor networks;
NFC;
PET;
ROPAS project;
art printing technique;
battery development;
composite material;
conductive ink;
conductive structure;
curing;
environmental constraint;
fiber based product;
flexogravure printing technique;
inkjet printing technique;
logistic chain;
nanotechnology;
near field communication;
paper substrate material;
porous material;
printable humidity sensor material;
printed electronics;
recycling;
roll-to-roll paper sensor;
screen printing technique;
security tag;
surface modification;
temperature stability;
wireless communicating sensor;
Conductivity;
Curing;
Printing;
Security;
Sensors;
Substrates;
Wireless communication;
59.
Printed passive components for RFID labels
机译:
RFID标签的印刷无源组件
作者:
Reboun J.
;
Blecha T.
;
Syrovy T.
;
Hamacek A.
;
Shlykevich A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
capacitors;
inductors;
printed circuits;
radiofrequency identification;
PET substrate;
RFID labels;
bending tests;
bias voltage;
frequency 13.56 MHz;
frequency characteristic;
impedance characteristic;
printed flexible capacitors;
printed flexible inductors;
printed passive components;
resonant circuit;
screen printing technique;
temperature characteristic;
Capacitance;
Capacitors;
Electrodes;
Inductors;
Permittivity;
Resonant frequency;
60.
Printed electroluminescent structures for smart cards
机译:
用于智能卡的印刷电致发光结构
作者:
Wroblewski G.
;
Sloma M.
;
Kallmayer C.
;
Marques J.
;
Haberland J.
;
Janczak D.
;
Jakubowska M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
carbon nanotubes;
dielectric materials;
electrochemical electrodes;
electroluminescent displays;
ink jet printers;
ink jet printing;
laminations;
nanoparticles;
polymer films;
smart cards;
spray coating techniques;
C;
dielectric ink;
double walled carbon nanotube;
elastic electroluminescent display;
ink-jet printer;
lamination process;
luminophore ink;
plastic card;
polycarbonate foil material;
printed electroluminescent structure;
printed electronics technology;
silver nanopowder ink;
smart card;
spray coating deposition technique;
transparent bottom electrode;
Electrodes;
Lamination;
Paints;
Silver;
Substrates;
61.
On-chip multilayer inductor design in near-field wireless connection for 3D-system integration
机译:
用于3D系统集成的近场无线连接的片上多层电感设计
作者:
Xiaodong Zhang
;
Xuecheng Zou
;
Chan Y.C.
;
Qiaoling Tong
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
inductors;
integrated circuit design;
integrated circuit interconnections;
integrated circuit modelling;
three-dimensional integrated circuits;
3D-system integration;
IC interconnection;
coil;
digital CMOS circuit;
equivalent model;
inductive coupling;
near-field wireless connection;
near-field wireless transceiver;
on-chip multilayer inductor design;
parameter extraction;
parameter fitting method;
signal-layer inductor;
Equivalent circuits;
Fitting;
Inductors;
Integrated circuit modeling;
Nonhomogeneous media;
Substrates;
System-on-chip;
inductor;
multilayer;
near-field;
62.
Laminate based LED module with embedded MOSFET chips
机译:
具有嵌入式MOSFET芯片的层压板LED模块
作者:
Munding A.
;
Gruber M.
;
Both T.
;
Carrillo J.M.
;
Herfurth M.
;
Schmidt H.
;
Waldschik A.
;
Baur E.
;
Kaltenbacher A.
;
Hoge M.
;
Bergler M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
MOSFET;
chip-on-board packaging;
laminates;
light emitting diodes;
light sources;
lighting;
surface mount technology;
AC power supply;
CoB;
LED array;
LED light source;
LED lighting system;
SMD;
chip-on-board technology;
embedded MOSFET chips;
laminate based LED module;
laminate based chip embedding;
power supply unit;
surface-mount devices;
system integration approach;
Arrays;
Assembly;
Laminates;
Light emitting diodes;
Temperature measurement;
Thermal conductivity;
63.
Integrated screen printed capacitors in a GaN DC-DC converter allowing double side cooling
机译:
GaN DC-DC转换器中的集成屏幕印刷电容允许双面冷却
作者:
Goualard O.
;
Videau N.
;
Doan T.B.
;
Lebey T.
;
Bley V.
;
Meynard T.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
DC-DC power convertors;
III-V semiconductors;
gallium compounds;
power transistors;
printed circuits;
wide band gap semiconductors;
DC-DC 3-level converter;
Kapton film;
ceramic substrate assembling;
compact converters;
cost-effective converters;
double-side cooling;
gallium nitride DC-DC converter;
gallium nitride transistors;
highly-efficient converters;
insulation layer;
integrated capacitors;
integrated screen printed capacitors;
integrated screen-printed capacitor technique;
multicell topology;
multilayer PCB substrate;
power board assembly;
power semiconductors;
Capacitance;
Capacitors;
Ceramics;
Gallium nitride;
Substrates;
Transistors;
64.
Investigations studying the electromigration phenomena in interconnects
机译:
研究互连中电迁移现象的调查
作者:
Albrecht H.-J.
;
Strogies J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper;
current density;
electromigration;
integrated circuit interconnections;
metallisation;
solders;
Cu;
Joule heating;
Pb-free solders;
current density;
diffusion;
electromigration;
flip chip-technology;
high density electron flow;
interconnects;
metallizations;
Current density;
Electromigration;
Lead;
Materials;
Power electronics;
Reliability;
65.
A new embedded die package — WFOP?
机译:
一个新的嵌入式模具包 - WFOP?
作者:
Takahashi T.
;
Inoue H.
;
Yada T.
;
Hayashi N.
;
Imaizumi Y.
;
Ikemoto Y.
;
Sawachi S.
;
Furuno A.
;
Yoshimitsu K.
;
Ooida M.
;
Katsumata A.
;
Hiruta Y.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
electronics packaging;
3D packages;
WFOP;
assembly technology;
base plate;
embedded die package;
facedown mounting type;
large scale panel substrate;
metal plate;
redistributed layer;
wide panel fan-out package;
Assembly;
Metals;
Reliability;
Resins;
Thermal resistance;
Three-dimensional displays;
66.
Assessment of high temperature reliability of molded smart power modules
机译:
评估模压智能电源模块的高温可靠性
作者:
Thomas T.
;
Becker K.-F.
;
Braun T.
;
van Dijk M.
;
Wittler O.
;
Lang K.-D.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
III-V semiconductors;
ageing;
encapsulation;
finite element analysis;
gallium compounds;
integrated circuit packaging;
integrated circuit reliability;
power integrated circuits;
silicon compounds;
wide band gap semiconductors;
FEM analysis;
GaN-SiC;
HT capable organic substrate;
IGBT;
MC;
ageing behavior;
ceramic substrate;
control logic;
delamination growth;
electrical integrity;
encapsulation method;
engines;
external heat sources;
gear boxes;
high temperature reliability assessment;
internal heat sources;
leadframe based mold-package;
molded smart power modules;
molding compounds;
package quality;
power IC;
power electronic devices;
power electronics packages;
sensor applications;
temperature 200 degC;
temperature 220 degC;
temperature 250 degC;
temperature load;
test vehicle;
thermomechanical material properties;
transfer molding process;
warpage behaviour;
Aging;
Delamination;
Encapsulation;
Materials;
Multichip modules;
Reliability;
Temperature;
67.
Investigation of the undercooling of SnCu solder spheres
机译:
SNCU焊球洗发机的调查
作者:
Schindler S.
;
Mueller M.
;
Wiese S.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
differential scanning calorimetry;
electronics packaging;
silver alloys;
solders;
tin alloys;
DSC measurements;
SnAgCu;
differential scanning calorimetry;
electronic packages;
heterogeneous nucleation;
interconnect sizes;
size 131 mum to 1120 mum;
solder alloys;
solder spheres;
solidification temperatures;
temperature 210 degC to 150 degC;
thermal preconditioning;
undercooling;
Heating;
Impurities;
Materials;
Microstructure;
Temperature measurement;
Tin;
68.
Universal high-temperature suitable joint adapting diffusion soldering
机译:
通用高温合适的关节适应扩散焊接
作者:
Strogies J.
;
Wilke K.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
assembling;
copper alloys;
diffusion;
high-temperature techniques;
melting point;
soldering;
solders;
solidification;
surface mount technology;
tin alloys;
SnCu;
assembly processes;
binary system;
diffusion soldered interconnects;
diffusion soldering;
eutectic composition;
high melting points;
high temperature compliant solder joints;
high-melting intermetallic phases;
lead frame soldering technology;
low thermal budget;
mass production;
material concentration change;
material systems;
metallography;
process flows;
second level assembly;
short bridgeable distances;
surface mount technology;
technical reliability;
temperature 227 degC;
thermal solidification;
topographic elements;
universal high-temperature suitable joint;
universal joining technology;
Intermetallic;
Soldering;
Solids;
Standards;
Surfaces;
Vehicles;
69.
Solder fatigue acceleration prediction and testing results for different thermal test- and field cycling environments
机译:
焊接疲劳加速预测和不同热试验和现场循环环境的测试结果
作者:
Dudek R.
;
Hildebrandt M.
;
Doering R.
;
Rzepka S.
;
Trageser H.
;
Kohl R.
;
Wang C.K.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
brittleness;
fatigue cracks;
fatigue testing;
finite element analysis;
plastic packaging;
reliability;
soldering;
solders;
Innolot;
Norris-Landsberg equation;
QFN fatigue;
SAC 305;
SAC acceleration predictions;
benign cyclic condition;
brittle cracking;
computer tomography;
cross sectioning analysis;
field cycling environment;
finite element analysis;
lead-free solder;
long term thermal cyclic;
phenomenological model;
plastic packaging;
reliable predictive model;
solder fatigue acceleration prediction;
temperature -40 degC;
temperature 100 degC;
temperature 125 degC;
temperature 150 degC;
temperature 23 degC;
temperature 93 degC;
thermal test-environment;
time 1 hour;
time 3.5 year;
time 4.5 year;
time 6 hour;
Acceleration;
Creep;
Fatigue;
Joints;
Soldering;
Strain;
Testing;
70.
Thermal power plane enabling dual-side electrical interconnects for high-performance chip stacks: Implementation
机译:
热电平面使双侧电互连用于高性能芯片堆叠:实现
作者:
Brunschwiler T.
;
Tick T.
;
Castriotta M.
;
Schlottig G.
;
Gschwend D.
;
Sato K.
;
Nakajima T.
;
Shidong Li
;
Oggioni S.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper;
integrated circuit interconnections;
integrated circuit packaging;
solders;
thermal resistance;
Cu;
TLV densities;
TPP;
bar-like planes;
chevron designs;
chevron-like planes;
chip stacks;
communication bandwidth;
current feed;
dual-side electrical interconnects;
electrical dc characteristics;
heat removal;
in-plane direction;
mesh design;
mesh-like planes;
minimal warpage;
out-of-plane direction;
packaging topology;
power density;
rail-shaped solder interconnects;
resistance 0.21 mohm;
solder joints;
standard single-side EIC package;
thermal laminate vias;
thermal power plane;
thermal resistance;
top chip;
two-die stack;
voltage drop;
voltage uniformity requirements;
Copper;
Feeds;
Heating;
Laminates;
Rails;
Thermal resistance;
dual-side electrical interconnects;
solder rails;
thermal power plane;
vertical integration;
71.
Fully gravure printed wireless cyclic voltammetry tags
机译:
完全凹版印刷无线循环伏安标签
作者:
Younsu Jung
;
Hyejin Park
;
Jin-Ah Park
;
Jinsoo Noh
;
Yunchang Choi
;
Minhoon Jung
;
Kyunghwan Jung
;
Myungho Pyo
;
Chen K.
;
Javey A.
;
Gyoujin Cho
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
amplifiers;
barium compounds;
carbon nanotubes;
conducting materials;
dielectric materials;
electrochemical sensors;
electromagnetic wave polarisation;
ink;
microstrip antennas;
nanoparticles;
nanosensors;
rectennas;
silver;
voltammetry (chemical analysis);
wireless sensor networks;
Ag-BaTiOlt;
subgt;
3lt;
/subgt;
-C;
CV;
conducting ink;
dielectric ink;
disposable wireless sensor tag;
electrochemical cell;
frequency 13.56 MHz;
fully gravure printed wireless cyclic voltammetry tag;
nanoparticle;
polarized DC volt generation;
rectenna;
semiconducting ink;
single-walled carbon nanotube;
triangle wave generator;
Carbon nanotubes;
Educational institutions;
Ink;
RFID tags;
Radio frequency;
Wireless communication;
Wireless sensor networks;
72.
Impact of deflashing process and Sn plating parameters towards temperature cycle on board (TCoB) reliability
机译:
拆卸过程的影响和SN电镀参数对电路板(TCOB)可靠性的温度周期
作者:
Krishnan J.
;
Sax H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bills of materials;
chemical interdiffusion;
copper alloys;
cracks;
current density;
failure analysis;
semiconductor device packaging;
semiconductor device reliability;
solders;
tin alloys;
BOM;
Cu-Sn;
ECU configuration;
TCoB reliability failure;
additive concentrations;
applied temperature swing;
carbon contaminated electrolytes;
copper base material;
copper sheet rolling;
interdiffusion;
lead frame pretreatment;
lead frame surface properties;
lead free solder joints;
media deflashing process;
package bill of material;
parasitic carbon deposition;
plating current density;
plating parameters;
regular low stress intermetallic;
semiconductor devices;
service life;
size 1 mum to 2 mum;
solder joint cracks;
solder paste;
temperature cycle on board failure;
temperature cycle on board reliability;
Carbon;
Current density;
Media;
Reliability;
Soldering;
Tin;
73.
Aluminium silvering of high current connectors using printing techniques and nanopowders
机译:
使用印刷技术和纳米粉末镀铝镀银高电流连接器
作者:
Kielbasinski K.
;
Szalapak J.
;
Krzeminski J.
;
Mlozniak A.
;
Zwierkowska E.
;
Teodorczyk M.
;
Jeremiasz O.
;
Jakubowska M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
busbars;
clamps;
electric connectors;
electroplating;
etching;
fasteners;
melting;
nanoparticles;
printing;
sintering;
toxicology;
waste;
aluminium busbar connector;
aluminium silvering;
bolted connection;
clamping;
contact joint formation;
contact resistivity;
electrical power industry;
electroplating;
etching;
high current metal connector;
nanoparticle;
nanosize silver powder;
nuts;
printing technique;
screen printing;
silver melting point;
sintering;
surface energy;
temperature 300 degC to 500 degC;
temperature 660 degC;
temperature 961 degC;
toxic waste production;
Aluminum;
Connectors;
Etching;
Joints;
Nanoparticles;
Resistance;
Silver;
74.
Advanced optical communication systems and devices
机译:
高级光通信系统和设备
作者:
Matiolli Machado L.
;
Delrosso G.
;
Borin F.
;
Rodrigues Fernandes de Oliveira J.
;
Corso V.
;
Hecker de Carvalho L.H.
;
Rodrigues Fernandes de Oliveira J.C.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
optical transceivers;
phosphorus compounds;
polymers;
silicon compounds;
thin film devices;
Brazil;
CFP2 transceivers;
CPqD research and development programme;
Campinas;
Centro de Pesquisa e Desenvolvimento em Telecomunica?o?es;
DP-QPSK transmit optical subassemblies module;
DP-QSPK modulator;
Latin America;
SiP;
advanced optical communication devices;
advanced optical communication systems;
advanced photonic devices;
bit rate 100 Gbit/s;
defence sectors;
financial sectors;
high-capacity elastic optical networks;
industrial sectors;
multimedia sectors;
optical system division;
private corporations;
public corporations;
security sectors;
silicon integration technologies;
silicon photonics;
smart algorithms;
software-defined networking;
thin film polymer;
ultra-fast optical communication networks;
ultra-fast optical systems;
Optical fibers;
Optical films;
Optical modulation;
Optical polarization;
Optical transmitters;
75.
Heat transfer through the interface containing sintered NanoAg based thermal interface material
机译:
通过含有烧结纳米基的热界面材料的界面传热
作者:
Platek B.
;
Falat T.
;
Matkowski P.
;
Felba J.
;
Moscicki A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
electronics packaging;
heat transfer;
silver alloys;
sintering;
Ag;
TIM;
diffusivity;
heat transfer assessment;
microsized particles;
nanosized particles;
sample Parker method;
silicon die;
silver nanoparticles;
sintered nanosilver based thermal interface material;
sintering process;
stacked copper substrate;
Heating;
Semiconductor device measurement;
Silver;
Substrates;
Temperature;
Temperature measurement;
76.
Screen printed antennas on textile substrate
机译:
纺织基板上的屏幕印刷天线
作者:
Blecha T.
;
Linhart R.
;
Reboun J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
microstrip antennas;
data transmission;
electronic devices;
functional pattern creation;
intelligent fireman;
printing technology;
screen printed antennas;
screen printed electronic devices;
smart textiles;
textile substrate;
Antenna measurements;
Antennas;
Loss measurement;
Printing;
Resonant frequency;
Substrates;
Textiles;
77.
Secure communication protocol using embedded devices based on FPGA
机译:
使用基于FPGA的嵌入式设备的安全通信协议
作者:
Marghescu A.
;
Svasta P.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
cryptographic protocols;
embedded systems;
field programmable gate arrays;
system-on-chip;
telecommunication security;
FPGA;
dual core ARM processing system;
embedded devices;
hybrid system on chip embedded architecture;
instant messenger facility;
programmable logic;
secure communication protocol;
Cryptography;
Engines;
Field programmable gate arrays;
Hardware;
IP networks;
Protocols;
78.
Flip-chip bonding processes with low volume SoP technology
机译:
具有低卷SOP技术的倒装芯片粘合工艺
作者:
Yong-Sung Eom
;
Hak-Son Lee
;
Hyun-Cheol Bae
;
Kwang-Seong Choi
;
Jin-Ho Lee
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bonding processes;
copper alloys;
differential scanning calorimetry;
flip-chip devices;
printed circuits;
semiconductor device packaging;
silver alloys;
solders;
tin alloys;
viscosity measurement;
DSC;
PCB substrate;
SBM paste;
SnAgCu;
chemo-rheological phenomena;
copper pillars;
differential scanning calorimetry;
fine-pitch interconnection;
flip-chip bonding processes;
high melting temperature;
low volume solder on pad technology;
maskless LVSoP technology;
maskless technology;
semiconductor packaging field;
silicon device;
solder bump maker material;
solder resist;
temperature 220 degC;
viscosity measurement;
Bonding processes;
Silicon;
Silicon devices;
Substrates;
Tin;
79.
eWLB SiP with Sn finished passives
机译:
EWLB SIP与SN结束的无源
作者:
Toole E.O.
;
Almeida R.
;
Campos J.
;
Martins A.
;
Cardoso A.
;
Cardoso F.
;
Kroehnert S.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
ball grid arrays;
electroplating;
integrated circuit metallisation;
integrated circuit reliability;
scanning electron microscopy;
system-in-package;
vapour deposition;
wafer level packaging;
HTS stress condition;
Infineon technologies;
Jedec standard high temperature storage;
PVD;
SEM;
Sn;
die material types;
die packages;
diffusion barriers;
electrical performance;
electroplated metallization;
embedded eWLB based SiP packages;
intermetallic region;
leadfree finished passive devices;
microsection analysis;
multilayer physical vapor deposition;
reliability performance;
scanning electron micrography;
standard SMT devices;
standard stress conditions;
system in package applications;
temperature 175 degC;
thermal performance;
time 200 hour;
tinned terminal passives;
wafer level ball grid array;
Copper;
Dielectrics;
Intermetallic;
Nickel;
Reliability;
Standards;
Tin;
80.
BioMEMS meets lab-on-a-chip: Heterogeneous integration of silicon MEMS and NEMS in polymer microfluidics
机译:
BioMems符合芯片的实验室:聚合物微流体中硅MEMS和NEM的异质整合
作者:
Andreassen E.
;
Mielnik M.M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bioMEMS;
elemental semiconductors;
fluidic devices;
injection moulding;
lab-on-a-chip;
microfabrication;
microfluidics;
microsensors;
polymers;
silicon;
BioMEMS component;
NEMS component;
Si;
actuator;
biosensor;
direct fluidic junctions formation;
heterogeneous LOC system;
heterogeneous integration method;
injection-moulded polymer lab-on-a-chip;
liquid pressure;
mass fabrication;
polymer microfluidics component;
Glass;
Junctions;
Liquids;
Microfluidics;
Polymers;
Silicon;
81.
Fracture mechanics analysis of cracks in multilayer ceramic capacitors
机译:
多层陶瓷电容器裂缝断裂力学分析
作者:
Al Ahmar J.
;
Wiese S.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
bending;
bifurcation;
ceramic capacitors;
cracks;
finite element analysis;
fracture mechanics;
soldering;
stress analysis;
J-integral parameter;
MLCC;
crack bifurcation;
crack opening displacement;
critical stress region;
finite element modelling;
fracture mechanics analysis;
multilayer ceramic capacitor;
soldering process;
stress distribution;
thermal cool down;
thermomechanical load;
three point bending test;
Assembly;
Capacitors;
Ceramics;
Finite element analysis;
Stress;
Temperature;
82.
Influence of soft sensor chip bonds on the formation of wire bond interconnections
机译:
软传感器芯片粘合对电线键合互连形成的影响
作者:
Kraemer F.
;
Wiese S.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
Young's modulus;
adhesives;
electrical contacts;
finite element analysis;
friction;
friction welding;
interconnections;
lead bonding;
metallisation;
plastics;
sensors;
ultrasonic absorption;
ultrasonic welding;
FEM package LS-Dyna;
Young's moduli;
bond interface;
contact force extraction;
contact formation determination;
die adhesive;
friction force reduction;
induced ultrasonic energy assessment;
mechanical stress;
pad metallization;
plastic;
reliability;
soft die bond stiffness;
soft sensor chip bonding;
three-dimensional FEM simulation;
ultrasonic energy absorption;
wedge bonding process;
welding;
wire bond contact formation;
wire bond interconnection formation;
Acoustics;
Finite element analysis;
Force;
Materials;
Stress;
Vibrations;
Wires;
83.
Examination of residual stress measurement in electronic packages using phase-shifted sampling moiré method and X-ray images
机译:
使用相移采样Moiré方法和X射线图像检查电子包装中的残余应力测量
作者:
Koganemaru M.
;
Uchino M.
;
Ikeda A.
;
Asano T.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
X-ray imaging;
deformation;
electronics packaging;
elemental semiconductors;
internal stresses;
moulding;
resins;
silicon;
stress measurement;
Si;
X-ray imaging;
bump grid;
electronic packaging;
phase-shifted sampling moire? fringe method;
residual stress measurement;
resin-molding;
semiconductor processing;
test chip deformation;
Gold;
Packaging;
Residual stresses;
Semiconductor device measurement;
Strain;
Stress measurement;
X-ray imaging;
84.
A study on power integrity in a 3D chip stack using dynamic power supply current emulation and power noise monitoring
机译:
3D芯片堆栈使用动态电源电流仿真和功率噪声监控的电力完整性研究
作者:
Araga Y.
;
Miura R.
;
Nagata M.
;
Neve C.R.
;
De Vos J.
;
Van der Plas G.
;
Beyne E.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
integrated circuit design;
integrated circuit modelling;
integrated circuit noise;
integrated circuit testing;
3D chip stack;
3D-integrated test vehicle;
consistent analytical model;
design strategy;
dynamic power supply current emulation;
heterogeneous integrated system;
in-stack waveform capturers;
noise generation;
noise propagation attenuation;
power delivery network;
power integrity;
power noise monitoring;
Couplings;
Integrated circuit modeling;
Monitoring;
Noise;
Substrates;
Three-dimensional displays;
Vehicles;
85.
Evaluation and optimization of the mechanical strength of bonds between metal foil and aluminium pads on thin ASICs using gold ball studs as micro-rivets
机译:
用金球螺柱作为微铆钉的薄壁箔粘合金属箔和铝焊盘粘合力的机械强度的评价与优化
作者:
Giagka V.
;
Vanhoestenberghe A.
;
Donaldson N.
;
Demosthenous A.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
aluminium;
application specific integrated circuits;
circuit optimisation;
foils;
gold;
integrated circuit interconnections;
mechanical strength;
ASIC;
aluminium pads;
bond failure;
bond strength;
electrical rivet bonding;
epidural spinal cord stimulation;
gold ball studs;
interconnection method;
mechanical strength;
metal foil;
microflex;
microrivets;
thin application specific integrated circuit;
Application specific integrated circuits;
Bonding;
Force;
Gold;
Integrated circuit interconnections;
Substrates;
Wires;
86.
Optimization of energy capability in power semiconductor devices
机译:
功率半导体器件中能量能力的优化
作者:
Liu Chen
;
Deckers M.
;
Sander R.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
optimisation;
power semiconductor devices;
automotive applications;
bulb;
energy capability optimization;
impact parameters;
load short circuits;
medium pulse;
motor inrush;
power semiconductor devices;
short pulse;
Conductivity;
Metals;
Microassembly;
Optimization;
Silicon;
Temperature measurement;
Thermal conductivity;
87.
Evaluation of the drop test of isotropic electrically conductive adhesives (ICAs) using an accelerometer
机译:
使用加速度计评估各向同性导电粘合剂(ICAs)的掉落试验
作者:
Liang Wang
;
Morris J.E.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
accelerometers;
conductive adhesives;
materials testing;
ICA failure rate;
NCMS;
National Center for Manufacturing Science;
drop height;
drop interactions;
drop test evaluation;
isotropic electrically conductive adhesives;
onboard accelerometer;
terminal velocity;
Acceleration;
Accelerometers;
Conductive adhesives;
Oscillators;
Printed circuits;
Standards;
88.
Investigation of electromigration behaviour in lead-free flip-chip solders connections
机译:
无铅倒装芯片焊料连接中的电迁移行为调查
作者:
Dohle R.
;
Gorywoda M.
;
Wirth A.
;
Gosler J.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
Weibull distribution;
current density;
electromigration;
electronics packaging;
failure analysis;
flip-chip devices;
log normal distribution;
metallisation;
semiconductor device reliability;
solders;
Black equation;
MTTF;
Weibull statistics;
activation energy;
bump metallization;
current density;
current flow;
electroless nickel;
electromigration behaviour;
electromigration test;
electronic device reliability;
failure data;
flip- chip solder connection;
flip-chip bump size reduction;
flip-chip organic package;
heat generation;
lead-free flip-chip solder connection;
lead-free legislation;
lognormal distribution;
mean time to failure;
packaging technology;
size 100 mum;
test vehicle;
Current density;
Electromigration;
Flip-chip devices;
Lead;
Soldering;
Temperature measurement;
Testing;
89.
Impact of RDL polymer on reliability of flip chip interconnects in thermal cycling — Correlation of experiments with finite element simulations
机译:
RDL聚合物对倒装芯片互连在热循环中的可靠性 - 有限元模拟实验的相关性
作者:
Muller M.
;
Wohrmann M.
;
Wittler O.
;
Bader V.
;
Topper M.
;
Lang K.-D.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
cracks;
finite element analysis;
flip-chip devices;
integrated circuit interconnections;
integrated circuit reliability;
polymers;
stress analysis;
tensile strength;
thin film circuits;
thin films;
wafer level packaging;
RDL polymer impact;
UBM pad;
WLP;
board level reliability;
crack initiation;
finite element simulations;
flip chip interconnection;
maximum stress simulation;
mechanical material property;
redistribution layer;
tensile strength;
thermal cycle reliability estimation;
thin film polymer material;
wafer level packaging;
Finite element analysis;
Materials reliability;
Polymers;
Semiconductor device reliability;
Stress;
90.
Impact of recurrent stretching on the performance of electro-textile UHF RFID tags
机译:
反复化伸展对电纺织UHF RFID标签性能的影响
作者:
Bjorninen T.
;
Virkki J.
;
Sydanheimo L.
;
Ukkonen L.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
UHF antennas;
fabrics;
radiofrequency identification;
textiles;
wearable antennas;
electro-textile UHF RFID tags;
passive ultra-high frequency radiofrequency identification tag;
recurrent stretching impact;
simulation-based design;
stretchable silver coated fabric;
textile antenna;
Antenna measurements;
Antennas;
Integrated circuits;
Radiofrequency identification;
Textiles;
Wireless communication;
91.
Effect of pad shape on electromigration in solder bump joints
机译:
垫形状对焊料凸块接头电迁移的影响
作者:
Yi Li
;
Chan Y.C.
;
Xiuchen Zhao
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
current density;
electromigration;
finite element analysis;
reliability;
solders;
temperature distribution;
current density;
electromigration reliability;
electronic products;
hexagonal pads;
octagonal pads;
pad shape design;
pad shape effect;
rounded pads;
solder bump joints;
solder joint structure design method;
square pads;
temperature distributions;
three dimensional thermo-electrical finite element analysis;
Current density;
Electromigration;
Joints;
Reliability;
Shape;
Soldering;
Temperature distribution;
92.
A comparative reliability study of copper-plated glass vias, drilled with CO
2
and ArF excimer lasers
机译:
镀铜玻璃通孔的比较可靠性研究,用CO
2 IM>和ARF准分子激光器钻孔
作者:
Broas M.
;
Demir K.
;
Sato Y.
;
Sundaram V.
;
Tummala R.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
copper;
electron microscopy;
integrated circuit packaging;
integrated circuit reliability;
integrated circuit testing;
laser materials processing;
optical microscopy;
vias;
ArF;
COlt;
subgt;
2lt;
/subgt;
Cu-SiOlt;
subgt;
2lt;
/subgt;
accelerated lifetime tests;
copper-plated glass vias;
electron microscopy;
excimer laser;
glass interposers;
laser processing methods;
optical microscopy;
reliability;
thermal cycle tests;
through-package-vias;
via hole formation mechanisms;
via quality;
Copper;
Glass;
Laser ablation;
Plastics;
Reliability;
Vehicles;
93.
Performance of passive RFID tags in a high temperature cycling test
机译:
在高温循环试验中的无源RFID标签的性能
作者:
Lahokallio S.
;
Kiilunen J.
;
Frisk L.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
radiofrequency identification;
reliability;
harsh industrial environments;
high temperature cycling test;
object identification;
passive RFID tags;
radiofrequency identification tags;
reliability;
threshold power measurements;
water immersion;
Materials;
RFID tags;
Radiofrequency integrated circuits;
Reliability;
Temperature measurement;
Testing;
94.
Development of an electro-optical circuit board technology with embedded single-mode glass waveguide layer
机译:
具有嵌入式单模玻璃波导层的电光电路板技术的开发
作者:
Brusberg L.
;
Manessis D.
;
Neitz M.
;
Schild B.
;
Schroder H.
;
Tekin T.
;
Lang K.-D.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
electro-optical devices;
printed circuits;
PCB;
SM-WG;
core layer;
embedded single-mode glass waveguide layer;
low loss glass waveguide panels;
photonic devices;
printed circuit board;
propagation loss;
single-mode board-to-board pluggable connector;
single-mode chip-to-board coupling interface;
single-mode electrooptical circuit board development;
size 150 mm;
thermal silver ion-exchange;
Glass;
Optical device fabrication;
Optical fibers;
Optical interconnections;
Optical refraction;
95.
Development of void free, high reliability underfill encapsulated fine pitch system on flex packages
机译:
无效,高可靠性底部填充弯曲封装封装的细距系统
作者:
Pun K.
;
Sharma A.
;
Singh A.
;
Islam M.N.
;
Tin Wing Ng
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
absorption;
adhesion;
assembling;
cleaning;
contamination;
encapsulation;
failure analysis;
fine-pitch technology;
moisture;
reliability;
voids (solid);
SOF packages;
adhesion failure;
assembly process;
complex assembly processes;
concentrated stress field;
delamination;
electro-chemical corrosion;
extra cleaning process;
filler type underfill material;
flex contamination;
flow rate cost;
high density I/O;
high reliability underfill encapsulated fine pitch system;
interfacial sites;
moisture absorption;
nonfiller materials;
package integrity;
package reliability;
peak temperature effect;
reflow temperature control;
seed-layer chromium removal;
system on flex packages;
underfill integrity;
underfill reliability;
underfill-encapsulant process;
void free development;
Adhesives;
Cleaning;
Delamination;
Reliability;
Substrates;
Surface treatment;
96.
Cooling of electronic assemblies through PCM containing coatings
机译:
通过PCM含涂层冷却电子组件
作者:
Novikov A.
;
Lexow D.
;
Nowottnick M.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
adsorption;
assembling;
coating techniques;
melting;
phase change materials;
PCM;
electronic assemblies;
electronic components;
heat absorption;
melting process;
phase change materials;
polymer material;
powder form;
resin;
standard coating material;
temperature peaks;
thermal management;
Coatings;
Cooling;
Heating;
Phase change materials;
Resins;
Temperature measurement;
97.
Recoverable fatigue behaviour of stretchable conductive adhesives with Ag loading
机译:
用Ag加载可伸展导电粘合剂的可恢复疲劳行为
作者:
Inoue M.
;
Itabashi Y.
;
Tada Y.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
conducting polymers;
conductive adhesives;
electric resistance;
electrical conductivity;
fatigue;
internal stresses;
silver;
Ag;
Ag loading;
annealing;
electrical resistance;
fatigue damage;
polyurethane-based electrically conductive adhesive;
stretchable conductive adhesives;
tensile strain;
uniaxial cyclic tension;
viscoelastic recovery;
Annealing;
Conductivity;
Electrical resistance measurement;
Fatigue;
Resistance;
Tensile strain;
Wires;
98.
Mechanical and interfacial characteristics of Zn-Al solder joints under elevated temperature and vibration conditions
机译:
升高温度和振动条件下Zn-Al焊点的机械和界面特性
作者:
Li Liu
;
Mirgkizoudi M.
;
Peng Zhang
;
Longzao Zhou
;
Changqing Liu
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
X-ray chemical analysis;
aluminium alloys;
automotive electronics;
chemical reactions;
copper alloys;
nickel alloys;
phosphorus alloys;
scanning electron microscopy;
shear strength;
solders;
vibrations;
zinc alloys;
EDS;
IMC analysis;
Ni-P-Cu;
SEM;
Zn-Al;
aging;
automotive standards;
brittle fracture;
concurrent vibration effect;
ductile fracture;
elevated temperature;
energy dispersive spectrometer;
fracture analysis;
frequency 100 Hz to 440 Hz;
harsh environment;
interfacial characteristics;
interfacial reactions;
mechanical analysis;
mechanical characteristics;
mechanical loads;
scanning electron microscope;
shear strength;
shear tests;
solder interconnection;
solder joints;
testing conditions;
thermal loads;
Aging;
Copper;
Reliability;
Soldering;
Substrates;
Surface cracks;
Vibrations;
99.
Structure preserving model order reduction and system level simulation of MEMS piezoelectric energy harvester
机译:
MEMS压电能源收割机的结构保持模型顺序减小与系统级模拟
作者:
Kudryavtsev M.
;
Hohlfeld D.
;
Rudnyi E.B.
;
Bechtold T.
;
Korvink J.G.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
energy harvesting;
micromechanical devices;
piezoelectric transducers;
reduced order systems;
Krylov subspaces;
MEMS piezoelectric energy harvester;
computationally efficient modeling technique;
power circuitry;
reduced harvester model;
stable compact modeling technique;
structure preserving model order reduction;
system level simulation;
Bridge circuits;
Capacitors;
Computational modeling;
Integrated circuit modeling;
Micromechanical devices;
Numerical models;
Ports (Computers);
100.
Integration of polymer bonded magnets into magnetic sensors
机译:
聚合物粘合磁体的整合到磁传感器中
作者:
Elian K.
;
Theuss H.
会议名称:
《Electronics System-Integration Technology Conference》
|
2014年
关键词:
magnetic circuits;
magnetic fields;
magnetic permeability;
magnetic sensors;
permanent magnets;
polymers;
GMR sensors;
antiblocking-system;
assembly technology;
automotive applications;
bias field;
bonded magnets;
chip carrier;
direct molding;
engine management;
high precision speed sensors;
magnet sensor modules;
magnetic circuit;
magnetic field modulation;
magnetic measurement principle;
magnetic permeability;
manufacturing technology;
module assembly;
parallel process;
passing external gear wheel;
permanent magnet integration;
plastic bonded magnets;
polymer bonded magnets;
semiconductor based sensor chip;
sequential pick and place process;
Assembly;
Magnetic fields;
Magnetic semiconductors;
Magnetomechanical effects;
Permanent magnets;
Sensors;
Wheels;
上一页
1
2
3
4
下一页
意见反馈
回到顶部
回到首页