掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
SPIE Advanced Lithography Conference
SPIE Advanced Lithography Conference
召开年:
2018
召开地:
San Jose(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
A comparison of different methods of characterizing EUV photoresist shrinkage
机译:
表征EUV光刻胶收缩率的不同方法的比较
作者:
Ramya Viswanathan
;
Scott Mansfield
;
Wenxin Li
;
Shuhai Fan
;
Roger Cornell
;
Hongxin Zhang
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
photoresist;
shrinkage;
CD-SEM induced shrink;
line slimming;
EUV;
OPC;
models;
2.
EUVL back-insertion layout optimization
机译:
EUVL后插布局优化
作者:
D. Civay
;
E. Laffosse
;
A. Chesneau
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
DTCO;
EUVL;
3.
Impact of EUV Mask Absorber Sidewall Angle on Patterning Robustness
机译:
EUV面罩吸收器侧壁角度对构图鲁棒性的影响
作者:
Lawrence S. Melvin Ⅲ
;
Yudhishthir Kandel
;
Tim Fuehner
;
Weimin Gao
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Mask absorber;
EUV;
side wall angle;
contrast;
4.
Increasing EUV source efficiency via recycling of radiation power
机译:
通过回收辐射功率提高EUV光源效率
作者:
Ahmed Hassanein
;
Valeryi Sizyuk
;
Tatyana Sizyuk
;
Kenneth C. Johnson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
Laser produced plasma;
conversion efficiency;
diffractive optics;
5.
Evaluation of high-resolution and sensitivity of n-CAR hybrid resist for sub-16nm or below technology node
机译:
低于16nm或以下技术节点的n-CAR混合抗蚀剂的高分辨率和灵敏度评估
作者:
Satinder K. Sharma
;
Mohamad Ghulam Moinuddin
;
Pulikanti Guruprasad Reddy
;
Chullikkattil P. Pradeep
;
Subrata Ghosh
;
Kenneth E. Gonsalves
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Non-chetnically amplified resist;
Electron beam lithography;
Extreme ultraviolet lithography;
Critical dimension;
Line edge roughness;
Line width roughness;
6.
Multi-Trigger Resist Patterning with ASML NXE3300 EUV scanner
机译:
使用ASML NXE3300 EUV扫描仪进行多触发抵抗图案化
作者:
Yannick Vesters
;
Alexandra McClelland
;
Danilo De Simone
;
Carmen Popescu
;
Guy Dawson
;
John Roth
;
Wolfgang Theis
;
Geert Vandenberghe
;
Alex P.G. Robinson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
photoresist;
molecular resist;
multi-trigger resist;
chemical amplification;
crosslinking;
7.
Evaluation of EUV mask impacts on wafer line-width roughness using aerial and SEM image analyses
机译:
使用航空和SEM图像分析评估EUV掩模对晶圆线宽粗糙度的影响
作者:
Xuemei Chen
;
Erik Verduijn
;
Obert Wood
;
Timothy Brunner
;
Renzo Capelli
;
Dirk Hellweg
;
Martin Dietzel
;
Grizelda Kersteen
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV Lithography;
Mask Absorber Roughness;
Replicated Multi-layer Roughness;
Line-edge Roughness (LER);
Line-width Roughness (LWR);
Actinic Aerial Image;
SEM;
Power Spectral Density;
Stochastics;
Photon Shot Noise;
8.
Impact of Aberrations in EUV Lithography: Metal to Via Edge Placement Control
机译:
EUV光刻中的像差影响:金属至通孔边缘放置控制
作者:
Lianghong Yin
;
Ananthan Raghunathan
;
Germain Fenger
;
Shumay Shang
;
Neal Lafferty
;
John Sturtevant
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
Aberrations;
SMO;
Edge Placement Errors;
Overlay;
9.
Diffuser concepts for in-situ wavefront measurements of EUV projection optics
机译:
用于EUV投影光学器件的原位波前测量的扩散器概念
作者:
Mark van de Kerkhof
;
Robbert Jan Voogd
;
Ad Schasfoort
;
Evert Westerhuis
;
Wouter Engelen
;
Manfred Dikkers
;
Yassin Chowdhury
;
Michael Kriese
;
Stefan Baeumer
;
Uwe Zeitner
;
Torsten Feigl
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
CD Uniformity;
Aberrations;
Alignment;
10.
High-Resolution EUV Lithography using a Multi-Trigger Resist
机译:
使用多触发电阻的高分辨率EUV光刻
作者:
C. Popescu
;
D. Kazaziss
;
A. McClelland
;
G. Dawson
;
J. Rot
;
W. Theis
;
Y. Ekinci
;
A.P.G. Robinson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
11.
Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking
机译:
基于酸催化聚合物主链断裂的超灵敏EUV抗蚀剂
作者:
Theodoros Manouras
;
Dimitrios Kazazis
;
Eleftherios Koufakis
;
Yasin Ekinci
;
Maria Vamvakaki
;
Panagiotis Argitis
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
Photoresist;
Scissionable polymeric resists;
Ultra-sensitive photoresists;
12.
Extreme Ultraviolet Mask Multilayer Material Variation Impact on Horizontal to Vertical Pattern Bias
机译:
极端紫外线掩模多层材料变化对水平到垂直图案偏差的影响
作者:
Lawrence S. Melvin Ⅲ
;
Yudhishthir Kandel
;
Qiliang Yan
;
Artak Isoyan
;
Weimin Gao
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
multi-layer mask stack;
anamorphic;
extreme ultraviolet;
13.
Thermomechanical Changes of EUV Mask and Absorber Dependency
机译:
EUV面罩的热机械变化和吸收体依赖性
作者:
Chung-Hyun Ban
;
Sung-Gyu Lee
;
Eun-Sang Park
;
Jae-Hun Park
;
Hye-Keun Oh
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
EUV mask;
thermal deformation;
absorber;
FEM simulation;
14.
Sensitizers in EUV Chemically Amplified Resist: Mechanism of sensitivity improvement
机译:
EUV化学增强型抗蚀剂中的敏化剂:灵敏度提高的机理
作者:
Yannick Vesters
;
Jing Jiang
;
Hiroki Yamamoto
;
Danilo De Simone
;
Takahiro Kozawa
;
Stefan De Gendf
;
Geert Vandenberghe
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
Chemically Amplified Resist;
Metal Sensitizer;
Acid Yield;
Dissolution;
15.
Holistic analysis of aberration induced overlay error in EUV lithography
机译:
EUV光刻中像差引起的叠加误差的整体分析
作者:
Yulu Chen
;
Lars Liebmann
;
Lei Sun
;
Allen Gabor
;
Shuo Zhao
;
Feixiang Luo
;
Obert Wood
;
Xucmei Chen
;
Daniel Schmidt
;
Michael Kling
;
Francis Goodwin
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
aberration;
Zernike polynomials;
overlay;
electromagnetic theory;
16.
Comparative Stochastic Process Variation Bands for N7, N5, and N3 at EUV
机译:
N7,N5和N3在EUV的比较随机过程变化带
作者:
Alessandro Vaglio Pret
;
Trey Graves
;
David Blankenship
;
Kunlun Bai
;
Stewart Robertson
;
Peter De Bisschop
;
John J. Biafore
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUVL;
SRAM;
PW;
CDU;
NILS;
PPM;
stochastic;
17.
Self-Aligned Block and Fully Self-Aligned Via for iN5 Metal 2 Self-Aligned Quadruple Patterning
机译:
用于iN5 Metal 2自对准四重图案的自对准模块和完全自对准通孔
作者:
Benjamin Vincent
;
Joern-Holger Franke
;
Aurelie Juncker
;
Frederic Lazzarino
;
Gayle Murdoch
;
Sandip Halder
;
Joseph Ervin
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Back End of Line;
Advanced Patterning;
Self-Aligned Quadruple Patterning;
Blocks;
Self-Aligned Block;
Fully Self-Aligned Block;
SAB;
FSAV;
Via;
18.
Aerial image based metrology of EUV masks: recent achievements, status and outlook for the AIMS™ EUV platform
机译:
EUV掩模的航空影像计量:AIMS™EUV平台的最新成就,现状和展望
作者:
Renzo Capelli
;
Dirk Hellweg
;
Martin Dietzel
;
Markus Koch
;
Conrad Wolke
;
Grizelda Kersteen
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Mask metrology;
AIMS™;
Aerial image review;
EUV;
scanner emulation;
defect review;
EUV optics;
19.
High Power LPP-EUV Source with Long Collector Mirror Lifetime for High Volume Semiconductor Manufacturing
机译:
大功率LPP-EUV源具有长的收集镜寿命,可用于大批量半导体制造
作者:
Hakaru Mizoguchi
;
Hiroaki Nakarai
;
Tamotsu Abe
;
Krzysztof M Nowak
;
Yasufumi Kawasuji
;
Hiroshi Tanaka
;
Yukio Watanabe
;
Tsukasa Hori
;
Takeshi Kodama
;
Yutaka Shiraishi
;
Tatsuya Yanagida
;
George Soumangne
;
Tsuyoshi Yamada
;
Taku Yamazaki
;
Takashi Saitou
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
20.
A Study on Enhancing EUV Resist Sensitivity (2)
机译:
增强EUV抵抗力的研究(2)
作者:
Atsushi SEKIGUCHI
;
Yoko MATSUMOTO
;
Mariko ISONO
;
Michiya NAITO
;
Yoshiyuki UTSUMI
;
Tetsuo HARADA
;
Takeo WATANABE
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV Lithography;
Metal assignment PAG;
Sn-PAG;
Te-PAG;
EUV metal resist;
Synchrotron;
21.
Ti, Zr, and Hf-based molecular hybrid materials as EUV photoresists
机译:
基于Ti,Zr和Hf的分子杂化材料作为EUV光致抗蚀剂
作者:
Sonia Castellanos
;
Lianjia Wu
;
Milos Baljozovic
;
Giuseppe Portale
;
Dimitrios Kazazis
;
Michaela Vockenhuber
;
Yasin Ekinci
;
Thomas Jung
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
metal oxoclusters;
XPS;
GIXS;
EUV photochemistry;
sensitivity;
22.
EUV for HVM: towards and industrialized scanner for HVM NXE3400B performance update
机译:
用于HVM的EUV:针对HVM NXE3400B性能更新的工业化扫描仪
作者:
Roderik van Es
;
Mark van de Kerkhof
;
Arthur Minnaert
;
Geert Fisser
;
Jos de Klerk
;
Joost Smits
;
Roel Moors
;
Eric Verhoeven
;
Leon Levasier
;
Rudy Peeters
;
Marco Pieters
;
Hans Meiling
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
CD Uniformity;
Overlay;
Focus;
Productivity;
Pellicle;
23.
Single Exposure EUV of 32nm pitch logic structures: Patterning performance on BF and DF masks
机译:
32nm间距逻辑结构的单次曝光EUV:BF和DF掩模上的图案化性能
作者:
V.M. Blanco Carballo
;
J. Bekaert
;
J.H. Franke
;
R.H. Kim
;
E. Hendrickx
;
L.E. Tan
;
W.Gillijns
;
Y. Drissi
;
M. Mao
;
G. Mclntyre
;
M. Dusa
;
M. Kupers
;
D. Rio
;
G. Schiffelers
;
E. DePoortere
;
J. Jia
;
S. Hsu
;
M. Demand
;
K. Nafus
;
S. Biesemans
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Metal layer patterning;
EUV;
BEOL;
N5;
CD-SEM;
LCDU;
SRAF;
retargeting;
RET;
BF mask;
DF mask;
etch;
24.
SRAF requirements, relevance and impact on EUV lithography for next generation beyond 7nm node
机译:
SRAF要求,相关性以及对7nm节点以后的下一代EUV光刻的影响
作者:
Vivian Wei Guo
;
Fan Jiang
;
Alexander Tritchkov
;
Srividya Jayaram
;
Scott Mansfield
;
Larry Zhuang
;
Yuyang Sun
;
Xima Zhang
;
Todd Bailey
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
Sub-Resolution Assist Features (SRAF);
Inverse Lithography Technology (ILT);
Curvilinear mask;
Mask Rule Check (MRC);
SMO;
image shift;
flare variations;
through-slit;
25.
Double patterning at NA 0.33 versus high-NA single exposure in EUV lithography: an imaging comparison
机译:
NAV为0.33的双图案与EUV光刻中的高NA单曝光的成像比较
作者:
Weimin Gao
;
Vincent Wiaux
;
Wolfgang Hoppe
;
Vicky Philipsen
;
Lawrence S. Melvin
;
Eric Hendrickx
;
Kevin Lucas
;
Ryoung-han Kim
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
high NA;
anamorphic image;
3nm node;
double patterning;
mask three dimensional effects;
26.
Actinic EUV Scatterometry for Parametric Mask Quantification
机译:
光化EUV散射法用于参数掩模定量
作者:
Stuart Sherwin
;
Andrew Neureuther
;
Patrick Naulleau
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Scatterometry;
EUV Masks;
Error Propagation;
Phase Retrieval;
Mask 3D Effects;
Multiple Scat-tering;
Multilayer Mirror;
Rigorous Coupled-Wave Analysis;
27.
Low-stress and high-reflectance Mo/Si multilayers for EUVL by magnetron sputtering deposition with bias assistance
机译:
带有偏置辅助的磁控溅射沉积用于EUVL的低应力和高反射率Mo / Si多层
作者:
Bo Yu
;
Liping Wang
;
Hailiang Li
;
Yao Xie
;
Hui Wang
;
Haitao Zhang
;
Shun Yao
;
Yu Liu
;
Jie Yu
;
Chun Li
;
@Changqing Xie
;
Chunshui Jin
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
stress;
EUV reflectance;
Mo/Si multilayers;
magnetron sputtering;
substrate bias;
28.
EUV Photolithography: Resist Progress and Challenges
机译:
EUV光刻:抵抗进步和挑战
作者:
Christopher K. Ober
;
Hong Xu
;
Vasiliki Kosma
;
Kazunori Sakai
;
Emmanuel P. Giannelis
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
EUV photoresist;
nanoparticle photoresist;
ZrO_2 nanoparticles;
HfO_2 nanoparticles;
29.
Model based high NA anamorphic EUV RET
机译:
基于模型的高NA变形EUV RET
作者:
Fan Jiang
;
Vincent Wiaux
;
Germain Fenger
;
Chris Clifford
;
Vlad Liubich
;
Eric Hendrickx
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
Anamorphic;
OPC;
mask constraint;
SRAF;
high-k absorber;
skew edge OPC;
30.
Electrical comparison of iN7 EUV hybrid and EUV single patterning BEOL metal layers
机译:
iN7 EUV混合和EUV单图案BEOL金属层的电气比较
作者:
Stephane Lariviere
;
Christopher J. Wilson
;
Bogumila Kutrzeba Kotowska
;
Janko Versluijs
;
Stefan Decoster
;
Ming Mao
;
Marleen H. van der Veen
;
Nicolas Jourdan
;
Zaid El-Mekki
;
Nancy Heylen
;
Els Kesters
;
Patrick Verdonck
;
Christophe Beral
;
Dieter Van Den Heuvel
;
Peter De Bisschop
;
Joost Bekaert
;
Victor Blanco
;
Ivan Ciofi
;
Danny Wan
;
Basoene Briggs
;
Arindam Mallik
;
Eric Hendrickx
;
Ryoung-han Kim
;
Greg Mclntyre
;
Kurt Ronse
;
Jiirgen Bommels
;
Zsolt Tokei
;
Dan Mocuta
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
BEoL Metal layer patterning;
Dual Damascene;
EUV;
193i;
Etch;
Metallization;
Electrical tests;
31.
Attenuated PSM for EUV: Can they mitigate 3D Mask Effects?
机译:
用于EUV的PSM衰减:它们可以减轻3D蒙版效果吗?
作者:
Andreas Erdmann
;
Peter Evanschitzky
;
Hazem Mesilhy
;
Vicky Philipsen
;
Eric Hendrickx
;
Markus Bauer
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
computational lithography;
EUV masks;
phase shift masks;
telecentricity error;
3D mask effects;
multiobjective optimization;
32.
Moore's Law, Lithography, and how Optics Drive the Semiconductor Industry
机译:
摩尔定律,光刻以及光学如何推动半导体行业
作者:
G. Dan Hutcheson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Moore's Law;
lithography economics;
scaling;
semiconductor wafer fab economics;
semiconductor business models;
Koomey's Law;
Dennard scaling;
Metcalfe's Law;
Emergent Behavior;
33.
Constructing a robust PSCAR™ process for EUV
机译:
为EUV构建可靠的PSCAR™工艺
作者:
Michael Carcasi
;
Seiji Nagahara
;
Gosuke Shiraishi
;
Yukie Minekawa
;
Hiroyuki Ide
;
Yoshihiro Kondo
;
Kosuke Yoshfliara
;
Masaru Tomono
;
Ryo Shimada
;
Kazuhiro Takeshita
;
Teruhiko Moriya
;
Yuya Kamei
;
Kathleen Nafus
;
Serge Biesemans
;
Hideo Nakashima
;
Masafumi Hori
;
Ken Maruyama
;
Hisashi Nakagawa
;
Tomoki Nagai
;
Satoshi Dei
;
Masayuki Miyake
;
Takehiko Naruoka
;
Motoyuki Shima
;
Geert Vandenberghe
;
Danito De Sitnone
;
Philippe Foubert
;
John S. Petersen
;
Akihiro Oshima
;
Seiichi Tagawa
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Photosensitized Chemically Amplified Resist™;
PSCAR™;
sensitivity;
resolution;
LER;
RLS trade-off;
34.
Systematic assessment of the contributors of line edge roughness in EUV lithography using simulations
机译:
使用仿真系统评估EUV光刻中线边缘粗糙度的因素
作者:
Anindarupa Chunder
;
Azat Latypov
;
John J. Biafore
;
Harry J. Levinson
;
Todd Bailey
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Lithography;
EUV;
photon shot noise;
PSN;
line edge roughness;
stochastic;
photoresist;
resist;
chemically amplified resist;
35.
Key Components Development Progress Updates of the 250W High Power LPP-EUV Light Source
机译:
250W大功率LPP-EUV光源关键组件开发进度更新
作者:
Yoshifumi Ueno
;
Tsukasa Hori
;
Yasufumi Kawasuji
;
Yutaka Shiraishi
;
Tatsuya Yanagida
;
Kenichi Miyao
;
Hideyuki Hayashi
;
Takuya Ishii
;
Yukio Watanabe
;
Takeshi Okamoto
;
Tamotsu Abe
;
Takeshi Kodama
;
Hiroaki Nakarai
;
Taku Yamazaki
;
Noritoshi Itou
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV light source;
EUV lithography;
Laser produced plasma;
Tin;
CO_2 laser;
Droplet generator;
Debris mitigation;
Magnetic field;
36.
EPE fundamentals and impact of EUV: Will Traditional Design-rule Calculations Work in the Era of EUV?
机译:
EPE的EPE基础和影响:传统设计规则计算是否可以在EUV时代起作用?
作者:
Allen H. Gabor
;
Andrew C. Brendler
;
Timothy A. Brunner
;
Xuemei Chen
;
James A. Culp
;
Harry J. Levinson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
design-rules;
edge placement error;
EPE;
stochastics;
skew;
kurtosis;
37.
Defect detection strategies and process partitioning for SE EUV patterning
机译:
SE EUV图案的缺陷检测策略和过程划分
作者:
Luciana MeK
;
Karen PetriDo
;
Anuja DeSflva
;
John Arnold
;
Nelson Felix
;
Chris Robinson
;
Benjamin Briggs
;
Shravan Matham
;
Yann Mignot
;
Jeffrey Shearer
;
Bassem Hamieh
;
Koichi Hontake
;
Lior Huli
;
Corey Lemley
;
Dave Hetzer
;
Erie Liu
;
Ko Akiteru
;
Shinichiro Kawakami
;
Takeshi Shimoaoki
;
Yusaku Hashimoto
;
Hiroshi Ichinomiya
;
Akiko Kai
;
Koichiro Tanaka
;
Ankit Jain
;
Heungsoo Choi
;
Barry Saville
;
Chet Lenox
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Stochastic defects;
Single-Expose EUV patterning;
inspection techniques;
CDU;
LCDU;
38.
EUV photoresist patterning characterization for imec N7/N5 technology
机译:
用于imec N7 / N5技术的EUV光刻胶图案表征
作者:
Danilo De Simone
;
Vito Rutigliani
;
Gian Lorusso
;
Peter De Bisschop
;
Yannick Vesters
;
Victor Blanco Carballo
;
Geert Vandenberghe
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV photoresist;
LWR;
LER;
PSD;
nano-failures;
nano-bridges;
broken lines;
iN7;
N5;
stochastics;
39.
EUV vote-taking lithography: Crazy... or not ?
机译:
EUV投票制版术:疯狂……还是不?
作者:
Joost Bekaert
;
Peter De Bisschop
;
Christophe Beral
;
Eric Hendrickx
;
Mark A. van de Kerkhof
;
Sander Bouten
;
Michiel Kupers
;
Guido Schiffelers
;
Erik Verduijn
;
Timothy A. Brunner
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
vote-taking;
EUV reticle defects;
ASML NXE:3400;
40.
Inorganic Hardmask Development for EUV Patterning
机译:
用于EUV图案的无机硬掩模开发
作者:
Anuja De Silva
;
Ashim Dutta
;
Luciana Meli
;
Yiping Yao
;
Yann Mignot
;
Jing Guo
;
Nelson M. Felix
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
41.
Stochastic effects in EUV Lithography
机译:
EUV平版印刷中的随机效应
作者:
P. De Bisschop
;
E. Hendrickx
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Stochastic effect;
random printing failures;
missing contacts;
microbridges;
NOK-metric;
Stochalis;
practical CD-resolution limit;
42.
Ultimate patterning limits for EUV at 5nm node and beyond
机译:
EUV在5nm及以上节点的最终图案限制
作者:
Rehab Kotb Ali
;
Ahmed Hamed Fatehy
;
Neal Lafferty
;
James Word
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
SAMP;
Manufacturing Flow;
OPC;
43.
DDR Process and Materials for Novel Tone Reverse Technique
机译:
DDR音调反向技术的工艺和材料
作者:
Shuhei Shigaki
;
Wataru Shibayama
;
Satoshi Takeda
;
Mamoru Tamura
;
Makoto Nakajima
;
Rikimaru Sakamoto
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Pattern collapse;
Dry Development Rinse process(DDR process);
Dry Development Rinse material (DDR material);
Tone reverse;
CDU;
CDU healing;
44.
Through-pellicle inspection of EUV masks
机译:
EUV面罩的贯穿膜检查
作者:
Iacopo Mochi
;
Rajendran Rajeev
;
Patrick Helfenstein
;
Sara Fernandez
;
Dimitrios Kazazis
;
Yasin Ekinci
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV mask inspection;
defect inspection;
actinic;
coherent diffraction imaging;
EUV pellicle;
45.
Resist coating and developing process technology toward EUV manufacturing sub-7nm node
机译:
抵制EUV制造7nm以下节点的涂层和开发工艺技术
作者:
Yuya Kamei
;
Takahiro Shiozawa
;
Shinichiro Kawakami
;
Hideo Shite
;
Hiroshi Ichinomiya
;
Yusaku Hashimoto
;
Masashi Enomoto
;
Kathleen Nafus
;
Akihiko Sonoda
;
Marc Demand
;
Philippe Foubert
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUVL;
CH 24 nm;
residue defect;
rinse process improvement;
in-film particle defect;
material dispense optimization;
CD uniformity;
waferto wafer;
field to field;
46.
Chemically-amplified EUV resists approaching 11 nm half-pitch
机译:
化学放大的EUV可以抵抗接近11 nm的半间距
作者:
Zuhal Tasdemir
;
Michaela Vockenhuber
;
Iacopo Mochi
;
Karen Garrido Olvera
;
Marieke Meeuwissen
;
Oktay Yildirim
;
Rik Hoefnagels
;
Gijsbert Rispens
;
Rolf Custers
;
Yasin Ekinci
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV Interference lithography;
image contrast;
aerial image;
high-resolution patterning;
EUV resists;
NXE scanner;
47.
A Tabletop Coherent EUV Source for Commercial EUVL Metrology and Imaging Applications
机译:
用于商业EUVL计量和成像应用的台式相干EUV源
作者:
Xiaoshi Zhang
;
Jon Garlick
;
Eric Mountford
;
Henry Kapteyn
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
48.
A comparative study of EUV absorber materials using lensless actinic imaging of EUV photomasks
机译:
使用EUV光掩模的无透镜光化成像对EUV吸收剂材料进行比较研究
作者:
S. Fernandez
;
D. Kazazis
;
R. Rajeev
;
I. Mochi
;
P. Helfenstein
;
S. Yoshitake
;
Y. Ekinci
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
EUV absorber;
photomask;
actinic inspection;
coherent diffraction imaging;
49.
Role of Excess Ligand and Effect of Thermal Treatment in Hybrid Inorganic-Organic EUV Resists
机译:
过量配体的作用和热处理对无机-有机EUV杂化剂的抵抗作用
作者:
Eric C. Mattson
;
Sara M. Rupich
;
Yasiel Cabrera
;
Yves J. Chabal
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
photoresists;
FTIR;
HfMAA;
in situ;
methacrylic acid;
hafnium oxide;
50.
Characterization and control of EUV scanner dose uniformity and stability
机译:
EUV扫描仪剂量均匀性和稳定性的表征和控制
作者:
Chris Robinson
;
Dan Corliss
;
Luciana Meli
;
Rick Johnson
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV dose;
open frame exposure;
slit uniformity;
dose error;
sub-EO dose monitor;
51.
EUV contact-hole local CD uniformity optimization for DRAM Storage Node application
机译:
用于DRAM存储节点应用的EUV接触孔局部CD均匀性优化
作者:
Mijung Lim
;
Chang-Moon Lim
;
Chang-Nam Ahn
;
Daniel Park
;
Anita Fumar-Pici
;
Nak Seong
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
stochastic noise;
stochastic noise model;
LCDU;
EUV;
staggered contact-hole array;
52.
CNTs in the context of EUV pellicle history
机译:
EUV防护膜历史背景下的CNT
作者:
Emily Gallagher
;
Marina Y. Timmermans
;
Ivan Pollentier
;
Jae Uk Lee
;
Marina Mariano
;
Christoph Adelmann
;
Cedric Huyghebaert
;
Frank Scholze
;
Christian Laubis
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV pellicle;
carbon nanotubes;
free-standing film;
EUV transmission;
53.
Exploring EUV and SAQP pattering schemes at 5nm technology node
机译:
在5nm技术节点上探索EUV和SAQP图案化方案
作者:
Ahmed Hamed Fatehy
;
Rehab Kotb
;
Neal Lafferty
;
Fan Jiang
;
James Word
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
SADP;
SAQP;
SE-EUV;
EPE;
PVBand;
SMO;
OPC;
54.
EUVL Gen 2.0: Key requirements for constraining semiconductor cost in advanced technology node manufacturing
机译:
EUVL Gen 2.0:在先进技术节点制造中限制半导体成本的关键要求
作者:
Arindam Mallik
;
Peter Debacker
;
Greg Mclntyre
;
Ryoung-Han Kim
;
Kurt Ronse
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
Cost of Ownership;
Multiple patterning;
EUV Lithography Advance technology node;
55.
Effects of chamber conditions on EUV source efficiency and optical system performance during high-frequency operation
机译:
高频条件下腔室条件对EUV光源效率和光学系统性能的影响
作者:
Tatyana Sizyuk
;
John Oliver
;
Weirong Yuan
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
LPP;
Tin background vapor;
CMUXE;
HEIGHTS;
Debris mitigation;
56.
Recent Status of Resist Outgas Testing for Metal Containing Resist at EIDEC
机译:
EIDEC含金属抗蚀剂的抗蚀剂除气测试的最新状况
作者:
Eishi Shiobara
;
Shinji Mikami
;
Kenji Yamada
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
resist;
outgas;
contamination;
metal;
57.
Illumination Source Optimization in EUV lithography for staggered Contact Holes and Pillars for DRAM Applications
机译:
用于DRAM应用的交错接触孔和支柱的EUV光刻中的光源优化
作者:
Jo Finders
;
Ziyang Wang
;
John Mcnamara
;
Gijsbert Rispens
;
Par Broman
;
Chang-Nam Ahn
;
Inhwan Lee
;
Hwan Kim
;
Junghyun Kang
;
Yoonsuk Hyun
;
Chang-Moon Lim
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
illumination source optimization;
Mask 3D induced contrast loss;
58.
EUV mask lifetime testing using EBL2
机译:
使用EBL2的EUV掩模寿命测试
作者:
Chien-Ching Wu
;
Edwin te Sligte
;
Herman Bekman
;
Arnold J. Storm
;
Michel van Putten
;
Maurice P.M. A. Limpens
;
Jacqueline van Veldhoven
;
Alex Deutz
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
Photomask;
Pellicle;
Lifetime;
XPS;
59.
Printability estimation of EUV blank defect using actinic image
机译:
使用光化图像估算EUV空白缺陷的可印刷性
作者:
Takeshi Yamane
;
Takashi Kamo
;
Rik Jonckheere
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV;
mask;
blank defect;
actinic;
signal intensity;
wafer CD;
through focus;
60.
EUV metal oxide hybrid photoresists: ultra-small structures for high-resolution patterning
机译:
EUV金属氧化物混合光致抗蚀剂:用于高分辨率图案化的超小结构
作者:
Hong Xu
;
Kou Yang
;
Kazunori Sakai
;
Vasiliki Kosma
;
Kazuki Kasahara
;
Emmanuel P.Giannelis
;
Christopher K. Ober
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
EUV lithography;
EUV photoresist;
inorganic-organic hybrid nanoparticles;
nanoparticle photoresist;
metal oxide photoresist;
61.
Patterning mechanism of metal based hybrid EUV resists
机译:
金属基杂化极紫外光刻胶的构图机理
作者:
Vasiliki Kosma
;
Kazuki Kasahara
;
Hong Xu
;
Kazunori Sakai
;
Christopher K. Ober
;
Emmanuel P. Giannelis
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
metal-based resists;
EUV;
patterning mechanism;
ESI-MS;
XPS;
FT-IR;
62.
Theoretical modeling of PEB procedure on EUV resist using FDM formulation
机译:
使用FDM配方对EUV抗蚀剂进行PEB程序的理论建模
作者:
Muyoung Kim
;
Junghwan Moon
;
Joonmyung Choi
;
Byunghoon Lee
;
Changyoung Jeong
;
Heebom Kim
;
Maenghyo Cho
会议名称:
《SPIE Advanced Lithography Conference》
|
2018年
关键词:
photoresist;
chemical amplification;
finite difference method;
line edge roughness;
photochemistry;
意见反馈
回到顶部
回到首页