掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Symposium on VLSI Technology
Symposium on VLSI Technology
召开年:
2013
召开地:
Kyoto(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Title page
机译:
封面
会议名称:
《Symposium on VLSI Technology》
|
2013年
2.
Foreword: Welcome to the 2013 symposium on VLSI technology
机译:
前言:欢迎参加2013年VLSI技术研讨会
作者:
Wakabayashi Hitoshi
;
Schruefer Klaus
会议名称:
《Symposium on VLSI Technology》
|
2013年
3.
2013 symposium on VLSI technology circuits-conference schedule
机译:
2013年VLSI技术与电路研讨会-会议日程
会议名称:
《Symposium on VLSI Technology》
|
2013年
4.
Floor map
机译:
楼层图
会议名称:
《Symposium on VLSI Technology》
|
2013年
5.
Blank page
机译:
空白页
会议名称:
《Symposium on VLSI Technology》
|
2013年
6.
Session quick index
机译:
会话快速索引
会议名称:
《Symposium on VLSI Technology》
|
2013年
7.
A 0.9 pJ/bit, 12.8 GByte/s WideIO memory interface in a 3D-IC NoC-based MPSoC
机译:
基于3D-IC NoC的MPSoC中的0.9 pJ / bit,12.8 GByte / s WideIO存储器接口
作者:
Dutoit Denis
;
Bernard Christian
;
Cheramy Severine
;
Clermidy Fabien
会议名称:
《Symposium on VLSI Technology》
|
2013年
8.
Fabrication of a 99-energy-less nonvolatile multi-functional CAM chip using hierarchical power gating for a massively-parallel full-text-search engine
机译:
使用分层功率门控的大规模并行全文搜索引擎制造99%低能耗的非易失性多功能CAM芯片
作者:
Matsunaga S.
;
Sakimura N.
;
Nebashi R.
;
Tsuji Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
9.
A 250-MHz 256b-I/O 1-Mb STT-MRAM with advanced perpendicular MTJ based dual cell for nonvolatile magnetic caches to reduce active power of processors
机译:
250 MHz 256b-I / O 1-Mb STT-MRAM,具有基于垂直MTJ的高级双单元,用于非易失性磁缓存,以减少处理器的有功功率
作者:
Noguchi Hiroki
;
Kushida Keiichi
;
Ikegami Kazutaka
;
Abe Keiko
会议名称:
《Symposium on VLSI Technology》
|
2013年
10.
A 1.5nsec/2.1nsec random read/write cycle 1Mb STT-RAM using 6T2MTJ cell with background write for nonvolatile e-memories
机译:
使用6T2MTJ单元的1.5nsec / 2.1nsec随机读取/写入周期1Mb STT-RAM,具有用于非易失性电子存储器的后台写入功能
作者:
Ohsawa T.
;
Miura S.
;
Kinoshita K.
;
Honjo H.
会议名称:
《Symposium on VLSI Technology》
|
2013年
11.
A 3.6GB/s 1.3mW 400mV 0.051mm2 near-threshold voltage resilient router in 22nm tri-gate CMOS
机译:
在22nm三栅CMOS中的3.6GB / s 1.3mW 400mV 0.051mm 2 sup>近阈值电压弹性路由器
作者:
Paul Somnath
;
Abbott Michael
;
Kishinevsky Eugene
;
Aseron Paolo
会议名称:
《Symposium on VLSI Technology》
|
2013年
12.
Process and local layout effect interaction on a high performance planar 20nm CMOS
机译:
高性能平面20nm CMOS上的工艺和局部布局效应相互作用
作者:
Sato F.
;
Ramachandran R.
;
Van Meer H.
;
Cho K.H.
会议名称:
《Symposium on VLSI Technology》
|
2013年
13.
First demonstration of a full 28nm high-k/metal gate circuit transfer from Bulk to UTBB FDSOI technology through hybrid integration
机译:
通过混合集成从Bulk到UTBB FDSOI技术的完整28nm高k /金属栅电路的首次演示
作者:
Golanski D.
;
Fonteneau P.
;
Fenouillet-Beranger C.
;
Cros A.
会议名称:
《Symposium on VLSI Technology》
|
2013年
14.
2.6GHz ultra-wide voltage range energy efficient dual A9 in 28nm UTBB FD-SOI
机译:
在28nm UTBB FD-SOI中具有2.6GHz超宽电压范围的节能双A9
作者:
Jacquet D.
;
Cesana G.
;
Flatresse P.
;
Arnaud F.
会议名称:
《Symposium on VLSI Technology》
|
2013年
15.
A 20nm 0.6V 2.1#x00B5;W/MHz 128kb SRAM with no half select issue by interleave wordline and hierarchical bitline scheme
机译:
20nm 0.6V 2.1µW / MHz 128kb SRAM,无交错字线和分层位线方案的半选择问题
作者:
Fujiwara H.
;
Yabuuchi M.
;
Morimoto M.
;
Tanaka K.
会议名称:
《Symposium on VLSI Technology》
|
2013年
16.
A 10 nm Si-based bulk FinFETs 6T SRAM with multiple fin heights technology for 25 better static noise margin
机译:
具有多个鳍高度技术的10 nm硅基块FinFET 6T SRAM,静态噪声容限提高25%
作者:
Chen Min-Cheng
;
Lin Chang-Hsien
;
Hou Yun-Fang
;
Chen Yi-Ju
会议名称:
《Symposium on VLSI Technology》
|
2013年
17.
A 210mV 7.3MHz 8T SRAM with dual data-aware write-assists and negative read wordline for high cell-stability, speed and area-efficiency
机译:
一个210mV 7.3MHz 8T SRAM,具有双数据感知写辅助和负读字线,可实现高单元稳定性,速度和面积效率
作者:
Chen Chien-Fu
;
Chang Ting-Hao
;
Chen Lai-Fu
;
Chang Meng-Fan
会议名称:
《Symposium on VLSI Technology》
|
2013年
18.
A 22nm 2.5MB slice on-die L3 cache for the next generation Xeon#x00AE; processor
机译:
用于下一代Xeon ® sup>处理器的22nm 2.5MB片上片上L3高速缓存
作者:
Chen Wei
;
Chen Szu-Liang
;
Chiu Siufu
;
Ganesan Raghuraman
会议名称:
《Symposium on VLSI Technology》
|
2013年
19.
A MEMS-based charge pump
机译:
基于MEMS的电荷泵
作者:
Lin Yang
;
Li Wei-Chang
;
Nguyen Clark T.-C.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
MEMS;
charge pump;
high voltage;
micromechanical;
resonant mechanical switch;
resonator;
resoswitch;
20.
A novel bit alterable 3D NAND flash using junction-free p-channel device with band-to-band tunneling induced hot-electron programming
机译:
使用无结p沟道器件和带间隧穿引起的热电子编程的新型位可变3D NAND闪存
作者:
Lue Hang-Ting
;
Chang Kuo-Ping
;
Chen Chih-Ping
;
Yeh Ten-Hao
会议名称:
《Symposium on VLSI Technology》
|
2013年
21.
A new read method suppressing effect of random telegraph noise in NAND flash memory by using hysteretic characteristic
机译:
利用磁滞特性抑制NAND闪存中随机电报噪声影响的新读取方法
作者:
Jeong Min-Kyu
;
Joe Sung-Min
;
Kang Ho-Jung
;
Han Kyoung-Rok
会议名称:
《Symposium on VLSI Technology》
|
2013年
22.
Understanding of the intrinsic characteristics and memory trade-offs of sub-#x03BC;A filamentary RRAM operation
机译:
认识亚μA丝状RRAM操作的内在特性和内存折衷
作者:
Goux L.
;
Fantini A.
;
Degraeve R.
;
Raghavan N.
会议名称:
《Symposium on VLSI Technology》
|
2013年
23.
RTN induced frequency shift measurements using a ring oscillator based circuit
机译:
使用基于环形振荡器的电路进行RTN感应的频移测量
作者:
Tang Qianying
;
Wang Xiaofei
;
Keane John
;
Kim Chris H.
会议名称:
《Symposium on VLSI Technology》
|
2013年
24.
Neural network based on a three-terminal ferroelectric memristor to enable on-chip pattern recognition
机译:
基于三端铁电忆阻器的神经网络可实现片上模式识别
作者:
Kaneko Yukihiro
;
Nishitani Yu
;
Ueda Michihito
;
Tsujimura Ayumu
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
ferroelectric;
memristor;
neural network;
non-volatile memory;
pattern recognition;
25.
A 22nm high performance embedded DRAM SoC technology featuring tri-gate transistors and MIMCAP COB
机译:
具有三栅极晶体管和MIMCAP COB的22nm高性能嵌入式DRAM SoC技术
作者:
Brain R.
;
Baran A.
;
Bisnik N.
;
Chen H.-P.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
22nm;
DRAM;
MIM;
embedded;
interconnect;
performance;
26.
Uniaxially strained germanium-tin (GeSn) gate-all-around nanowire PFETs enabled by a novel top-down nanowire formation technology
机译:
通过新型自上而下的纳米线形成技术实现的单轴应变锗锡(GeSn)全方位栅纳米线PFET
作者:
Gong Xiao
;
Han Genquan
;
Su Shaojian
;
Cheng Ran
会议名称:
《Symposium on VLSI Technology》
|
2013年
27.
A new guard-ring technique to reduce coupling noise from through silicon via (TSV) utilizing inversion charge induced by interface charge
机译:
一种新的保护环技术,可利用界面电荷感应出的反向电荷来减少通过硅通孔(TSV)的耦合噪声
作者:
Kim Kyung-Do
;
Jeong Min-Kyu
;
Cho Sung-Min
;
Kang Ho-Jung
会议名称:
《Symposium on VLSI Technology》
|
2013年
28.
A new expandible ZnS-SiO2 liner stressor for n-channel FinFETs
机译:
用于n通道FinFET的新型可扩展ZnS-SiO2衬里应力源
作者:
Ding Yinjie
;
Tong Xin
;
Zhou Qian
;
Liu Bin
会议名称:
《Symposium on VLSI Technology》
|
2013年
29.
A novel high performance WOx ReRAM based on thermally-induced SET operation
机译:
基于热感应SET操作的新型高性能WOx ReRAM
作者:
Chien Wei-Chih
;
Lee Ming-Hsm
;
Lee Feng-Ming
;
Chen Wei-Chen
会议名称:
《Symposium on VLSI Technology》
|
2013年
30.
A novel conducting bridge resistive memory using a semiconducting dynamic E-field moderating layer
机译:
利用半导体动态电场缓和层的新型导电桥电阻存储器
作者:
Lee F.M.
;
Lin Y.Y.
;
Chien W.C.
;
Lee D.Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
31.
Dopant selection rules for extrinsic tunability of HfOx RRAM characteristics: A systematic study
机译:
HfOx RRAM特性的外部可调性的掺杂剂选择规则:系统研究
作者:
Zhao Liang
;
Ryu Seung-Wook
;
Hazeghi Aryan
;
Duncan Dan
会议名称:
《Symposium on VLSI Technology》
|
2013年
32.
A scalable volume-confined phase change memory using physical vapor deposition
机译:
使用物理气相沉积的可扩展的体积受限相变存储器
作者:
Lai S.C.
;
Kim S.
;
BrightSky M.
;
Zhu Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
33.
Top-pinned perpendicular MTJ structure with a counter bias magnetic field layer for suppressing a stray-field in highly scalable STT-MRAM
机译:
具有反偏置磁场层的顶部固定垂直MTJ结构,用于抑制高度可扩展的STT-MRAM中的杂散场
作者:
Iba Y.
;
Yoshida C.
;
Hatada A.
;
Nakabayashi M.
会议名称:
《Symposium on VLSI Technology》
|
2013年
34.
Table of contents
机译:
目录
会议名称:
《Symposium on VLSI Technology》
|
2013年
35.
An extra low-power 1Tbit/s bandwidth PLL/DLL-less eDRAM PHY using 0.3V low-swing IO for 2.5D CoWoS application
机译:
使用0.3V低摆幅IO的超低功耗1Tbit / s带宽PLL / DLL-less eDRAM PHY,适用于2.5D CoWoS应用
作者:
Lin Mu-Shan
;
Tsai Chien-Chun
;
Chang Chih-Hsien
;
Huang Wen-Hung
会议名称:
《Symposium on VLSI Technology》
|
2013年
36.
3D IC heterogeneous integration of GPS RF receiver, baseband, and DRAM on CoWoS with system BIST solution
机译:
CoWoS上具有系统BIST解决方案的GPS RF接收器,基带和DRAM的3D IC异构集成
作者:
Liao W.S.
;
Chen H.N.
;
Yen K.K.
;
Yeh E.H.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
3D IC;
Baseband;
CoWoS;
DRAM and BIST;
GPS;
37.
3D stackable vertical-gate BE-SONOS NAND flash with layer-aware program-and-read schemes and wave-propagation fail-bit-detection against cross-layer process variations
机译:
3D可堆叠垂直门BE-SONOS NAND闪存,具有可感知层的编程和读取方案以及针对跨层工艺变化的波传播失败位检测
作者:
Hung Chun-Hsiung
;
Yang Yih-Shan
;
Kuo Yao-Jen
;
Lai Tzu-Neng
会议名称:
《Symposium on VLSI Technology》
|
2013年
38.
Scalable 3D-FPGA using wafer-to-wafer TSV interconnect of 15 Tbps/W, 3.3 Tbps/mm2
机译:
使用15 Tbps / W,3.3 Tbps / mm 2 sup>的晶圆间TSV互连的可扩展3D-FPGA
作者:
Furuta Futoshi
;
Matsumura Tadayuki
;
Osada Kenichi
;
Aoki Mayu
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
3D;
FPGA;
Homogeneous;
TSV;
39.
1Mb 0.41 #x00B5;m2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing
机译:
具有两位编码和时钟自参考检测功能的1Mb 0.41 µm 2 sup> 2T-2R单元非易失性TCAM
作者:
Li Jing
;
Montoye Robert
;
Ishii Masatoshi
;
Stawiasz Kevin
会议名称:
《Symposium on VLSI Technology》
|
2013年
40.
Area-efficient embedded RRAM macros with sub-5ns random-read-access-time using logic-process parasitic-BJT-switch (0T1R) cell and read-disturb-free temperature-aware current-mode read scheme
机译:
使用逻辑处理寄生BJT开关(0T1R)单元和无读取干扰的温度感知电流模式读取方案,具有5ns以下随机读取访问时间的区域有效嵌入式RRAM宏
作者:
Chang Meng-Fan
;
Kuo Chia-Cheng
;
Sheu Shyh-Shyuan
;
Lin Chorng-Jung
会议名称:
《Symposium on VLSI Technology》
|
2013年
41.
Layout-induced stress effects in 14nm 10nm FinFETs and their impact on performance
机译:
14nm和10nm FinFET中布局引起的应力影响及其对性能的影响
作者:
Bardon M.Garcia
;
Moroz V.
;
Eneman G.
;
Schuddinck P.
会议名称:
《Symposium on VLSI Technology》
|
2013年
42.
Fully-depleted planar technologies and static RAM
机译:
完全耗尽的平面技术和静态RAM
作者:
Hook T.
;
Cheng K.
;
Doris B.
;
Khakifirooz Ali
会议名称:
《Symposium on VLSI Technology》
|
2013年
43.
FDSOI process/design full solutions for ultra low leakage, high speed and low voltage SRAMs
机译:
FDSOI工艺/设计用于超低泄漏,高速和低压SRAM的完整解决方案
作者:
Ranica R.
;
Planes N.
;
Weber O.
;
Thomas O.
会议名称:
《Symposium on VLSI Technology》
|
2013年
44.
Ultralow-voltage operation of Silicon-on-Thin-BOX (SOTB) 2Mbit SRAM down to 0.37 V utilizing adaptive back bias
机译:
利用自适应反向偏置,低至0.37 V的薄型硅薄盒(SOTB)2Mbit SRAM的超低压工作
作者:
Yamamoto Y.
;
Makiyama H.
;
Shinohara H.
;
Iwamatsu T.
会议名称:
《Symposium on VLSI Technology》
|
2013年
45.
Enhancing SRAM performance by advanced FinFET device and circuit technology collaboration for 14nm node and beyond
机译:
通过先进的FinFET器件和电路技术协作来增强14nm节点及更高节点的SRAM性能
作者:
Endo Kazuhiko
;
Ouchi Shin-ichi
;
Matsukawa Takashi
;
Liu Yongxun
会议名称:
《Symposium on VLSI Technology》
|
2013年
46.
Dual-VCC 8T-bitcell SRAM array in 22nm tri-gate CMOS for energy-efficient operation across wide dynamic voltage range
机译:
采用22nm三栅极CMOS的双VCC 8T位单元SRAM阵列,可在较宽的动态电压范围内实现节能运行
作者:
Kulkarni Jaydeep
;
Khellah Muhammad
;
Tschanz Jim
;
Geuskens Bibiche
会议名称:
《Symposium on VLSI Technology》
|
2013年
47.
Time of flight image sensor with 7um pixel and 640#x00D7;480 resolution
机译:
具有7um像素和640×480分辨率的飞行时间图像传感器
作者:
Kim Seounghyun
;
Cha Seungwon
;
Park Heewoo
;
Gong Jooyeong
会议名称:
《Symposium on VLSI Technology》
|
2013年
48.
Study of the interference and disturb mechanisms of split-page 3D vertical gate (VG) NAND flash and optimized programming algorithms for multi-level cell (MLC) storage
机译:
分页3D垂直门(VG)NAND闪存的干扰和干扰机制研究以及多层单元(MLC)存储的优化编程算法
作者:
Hsieh Chih-Chang
;
Lue Hang-Ting
;
Li Yung Chun
;
Chang Kuo-Ping
会议名称:
《Symposium on VLSI Technology》
|
2013年
49.
3D vertical RRAM - Scaling limit analysis and demonstration of 3D array operation
机译:
3D垂直RRAM-缩放极限分析和3D阵列操作演示
作者:
Yu Shimeng
;
Chen Hong-Yu
;
Deng Yexin
;
Gao Bin
会议名称:
《Symposium on VLSI Technology》
|
2013年
50.
RTN insight to filamentary instability and disturb immunity in ultra-low power switching HfOx and AlOx RRAM
机译:
RTN洞悉超低功耗开关HfOx和AlOx RRAM中的丝状不稳定和抗扰性
作者:
Raghavan N.
;
Degraeve R.
;
Goux L.
;
Fantini A.
会议名称:
《Symposium on VLSI Technology》
|
2013年
51.
Self-rectifying bipolar TaOx/TiO2 RRAM with superior endurance over 1012 cycles for 3D high-density storage-class memory
机译:
自校正双极性TaOx / TiO2 RRAM具有超过10 12 sup>循环的出色耐久性,可用于3D高密度存储级存储器
作者:
Hsu Chung-Wei
;
Wang I-Ting
;
Lo Chun-Li
;
Chiang Ming-Chung
会议名称:
《Symposium on VLSI Technology》
|
2013年
52.
Multi-layer tunnel barrier (Ta2O5/TaOx/TiO2) engineering for bipolar RRAM selector applications
机译:
用于双极RRAM选择器应用的多层隧道势垒(Ta2O5 / TaOx / TiO2)工程
作者:
Woo Jiyong
;
Lee Wootae
;
Park Sangsu
;
Kim Seonghyun
会议名称:
《Symposium on VLSI Technology》
|
2013年
53.
Deep understanding of AC RTN in MuGFETs through new characterization method and impacts on logic circuits
机译:
通过新的表征方法深入了解MuGFET中的AC RTN及其对逻辑电路的影响
作者:
Zou Jibin
;
Wang Runsheng
;
Luo Mulong
;
Huang Ru
会议名称:
《Symposium on VLSI Technology》
|
2013年
54.
Degradation of time dependent variability due to interface state generation
机译:
由于接口状态生成,时间相关的可变性下降
作者:
Toledano-Luque M.
;
Kaczer B.
;
Franco J.
;
Roussel Ph.J.
会议名称:
《Symposium on VLSI Technology》
|
2013年
55.
Highly scalable effective work function engineering approach for multi-VT modulation of planar and FinFET-based RMG high-k last devices for (Sub-)22nm nodes
机译:
高度可扩展的有效功函数工程方法,用于(Sub)22nm节点的平面和基于FinFET的RMG高k最后器件的多VT调制
作者:
Veloso A.
;
Boccardi G.
;
Ragnarsson L.-A.
;
Higuchi Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
56.
Heated implantation with amorphous Carbon CMOS mask for scaled FinFETs
机译:
用于非晶FinFET的非晶碳CMOS掩模的热注入
作者:
Togo M.
;
Sasaki Y.
;
Zschatzsch G.
;
Boccardi G.
会议名称:
《Symposium on VLSI Technology》
|
2013年
57.
NFET effective work function improvement via stress memorization technique in replacement metal gate technology
机译:
在替代金属栅极技术中通过应力记忆技术改善NFET的有效功函数
作者:
Liu Y.
;
Meer H.V.
;
Gluschenkov O.
;
Yang X.
会议名称:
《Symposium on VLSI Technology》
|
2013年
58.
Barrier height reduction to 0.15eV and contact resistivity reduction to 9.1#x00D7;10#x2212;9 #x2126;-cm2 using ultrathin TiO2#x2212;x interlayer between metal and silicon
机译:
使用金属和硅之间的超薄TiO2-x中间层将势垒高度减小至0.15eV,并将接触电阻率减小至9.1×10 −9 sup>Ω-cm 2 sup>
作者:
Agrawal A.
;
Lin J.
;
Zheng B.
;
Sharma S.
会议名称:
《Symposium on VLSI Technology》
|
2013年
59.
64nm pitch interconnects: Optimized for designability, manufacturability and extendibility
机译:
64nm间距互连:针对设计性,可制造性和可扩展性进行了优化
作者:
Goldberg C.
;
Park S.H.
;
Kim B.Y.
;
Law S.B.
会议名称:
《Symposium on VLSI Technology》
|
2013年
60.
Innovative through-Si 3D lithography for ultimate self-aligned planar Double-Gate and Gate-All-Around nanowire transistors
机译:
创新的Si 3D光刻技术,可实现最终的自对准平面双栅极和全能栅极纳米线晶体管
作者:
Coquand R.
;
Monfray S.
;
Barraud S.
;
Samson M.P.
会议名称:
《Symposium on VLSI Technology》
|
2013年
61.
Systematic understanding of channel-size dependence of low-frequency noise in 10nm-diameter tri-gate nanowire MOSFETs
机译:
对直径为10nm的三栅极纳米线MOSFET中低频噪声的通道大小依赖性的系统理解
作者:
Saitoh Masumi
;
Ota Kensuke
;
Tanaka Chika
;
Numata Toshinori
会议名称:
《Symposium on VLSI Technology》
|
2013年
62.
Scaling of #x2126;-gate SOI nanowire N- and P-FET down to 10nm gate length: Size- and orientation-dependent strain effects
机译:
Ω栅SOI纳米线N和P-FET的栅尺寸可减小至10nm栅长:尺寸和方向相关的应变效应
作者:
Barraud S.
;
Coquand R.
;
Maffini-Alvaro V.
;
Samson M.-P.
会议名称:
《Symposium on VLSI Technology》
|
2013年
63.
Performance of GAA poly-Si nanosheet (2nm) channel of junctionless transistors with ideal subthreshold slope
机译:
具有理想亚阈值斜率的无结晶体管的GAA多晶硅纳米片(2nm)通道的性能
作者:
Chen Hung-Bin
;
Wu Yung-Chun
;
Chang Chun-Yen
;
Han Ming-Hung
会议名称:
《Symposium on VLSI Technology》
|
2013年
64.
Synthetic electric field tunnel FETs: Drain current multiplication demonstrated by wrapped gate electrode around ultrathin epitaxial channel
机译:
合成电场隧道FET:漏极电流倍增通过超薄外延沟道周围包裹的栅电极展示
作者:
Morita Y.
;
Mori T.
;
Migita S
;
Mizubayashi W.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
orthogonal electric field;
parallel electric field;
tunnel FET;
ultrathin channel;
wrapped around gate;
65.
Performance of threshold switching in chalcogenide glass for 3D stackable selector
机译:
用于3D可堆叠选择器的硫属化物玻璃的阈值切换性能
作者:
Kim Sungho
;
Kim Young-Bae
;
Kim Kyung Min
;
Kim Sae-Jin
会议名称:
《Symposium on VLSI Technology》
|
2013年
66.
Bidirectional TaO-diode-selected, complementary atom switch (DCAS) for area-efficient, nonvolatile crossbar switch block
机译:
双向TaO二极管选择的互补原子开关(DCAS),用于节省面积的非易失性交叉开关模块
作者:
Okamoto K.
;
Tada M.
;
Banno N.
;
Sakamoto T.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
Atom switch;
Crossbar;
Nonvolatile programmable logic;
67.
Record extrinsic transconductance (2.45 mS/#x00B5;m at VDS = 0.5 V) InAs/In0.53Ga0.47As channel MOSFETs using MOCVD source-drain regrowth
机译:
使用MOCVD源漏再生长记录外在跨导(在VDS = 0.5 V时为2.45 mS / µm)InAs / In0.53Ga0.47As沟道MOSFET
作者:
Lee S.
;
Huang C.-Y.
;
Carter A.D.
;
Elias D.C.
会议名称:
《Symposium on VLSI Technology》
|
2013年
68.
Experimental analysis and modeling of self heating effect in dielectric isolated planar and fin devices
机译:
电介质隔离平面和鳍片器件自热效应的实验分析和建模
作者:
Lee S.
;
Wachnik R.
;
Hyde P.
;
Wagner L.
会议名称:
《Symposium on VLSI Technology》
|
2013年
69.
High-voltage complementary BEOL-FETs on Cu interconnects using N-type IGZO and P-type SnO dual oxide semiconductor channels
机译:
使用N型IGZO和P型SnO双氧化物半导体通道的Cu互连上的高压互补BEOL-FET
作者:
Sunamura H.
;
Kaneko K.
;
Furutake N.
;
Saito S.
会议名称:
《Symposium on VLSI Technology》
|
2013年
70.
System scaling and collaborative open innovation
机译:
系统扩展和协作式开放创新
作者:
Sun Jack Y.-C.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
3DIC;
CMOS;
VLSI;
computing power;
scaling;
system optimization;
71.
First demonstration of strained Ge-in-STI IFQW pFETs featuring raised SiGe75 S/D, replacement metal gate and germanided local interconnects
机译:
应变Ge-in-STI IFQW pFET的首次演示,具有提高的SiGe75%S / D,替代金属栅极和锗化局部互连
作者:
Mitard J.
;
Witters L.
;
Vincent B.
;
Franco J.
会议名称:
《Symposium on VLSI Technology》
|
2013年
72.
Thin organic photoconductive film image sensors with extremely high saturation of 8500 electrons/#x00B5;m2
机译:
有机光电导薄膜图像传感器,其饱和度极高,为8500电子/ µm 2 sup>
作者:
Mori Mitsuyoshi
;
Hirose Yutaka
;
Segawa Mizuki
;
Miyanaga Isao
会议名称:
《Symposium on VLSI Technology》
|
2013年
73.
Enhancement of hole mobility and cut-off characteristics of strained Ge nanowire pMOSFETs by using plasma oxidized GeOx inter-layer for gate stack
机译:
通过使用等离子体氧化的GeOx夹层作为栅堆叠来增强应变Ge纳米线pMOSFET的空穴迁移率和截止特性
作者:
Ikeda Keiji
;
Kamimuta Yuuichi
;
Moriyama Yoshihiko
;
Ono Mizuki
会议名称:
《Symposium on VLSI Technology》
|
2013年
74.
Fabrication of GeSn-On-Insulator (GSOI) to enable monolithic 3D co-integration of logic and photonics
机译:
GeSn-In-Insulator(GSOI)的制造可实现逻辑和光子学的单片3D共集成
作者:
Lin J.-Y.Jason
;
Gupta Suyog
;
Huang Yi-Chiau
;
Kim Yihwan
会议名称:
《Symposium on VLSI Technology》
|
2013年
75.
Manufacturability optimization and design validation studies for FPGA-based, 3D integrated circuits
机译:
基于FPGA的3D集成电路的可制造性优化和设计验证研究
作者:
Ibbotson D.
;
Rahman A.
;
Xie J.
;
Chanda K.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
3D;
FPGA;
heterogeneous integration;
76.
Scaling challenges of packaging in the Era of Big Data
机译:
大数据时代的包装挑战
作者:
Orii Yasumitsu
;
Horibe Akihiro
;
Toriyma Kazushige
;
Matsumoto Keiji
会议名称:
《Symposium on VLSI Technology》
|
2013年
77.
An integrated air gap structure to achieve high-performance TSV interconnects for 28nm 3D-IC integration
机译:
集成的气隙结构可实现用于28nm 3D-IC集成的高性能TSV互连
作者:
Liao E.B.
;
Cheng K.W.
;
Chen Y.H.
;
Teng H.A.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
KOZ;
TSV;
air gap;
parasitic capacitance;
78.
High performance extremely-thin body InAs-on-insulator MOSFETs on Si with Ni-InGaAs metal S/D by contact resistance reduction technology
机译:
通过接触电阻降低技术在具有Ni-InGaAs金属S / D的Si上的高性能极薄绝缘体上InAs MOSFET
作者:
Kim S.H.
;
Yokoyama M.
;
Nakane R.
;
Ichikawa O.
会议名称:
《Symposium on VLSI Technology》
|
2013年
79.
Optimal device architecture and hetero-integration scheme for III–V CMOS
机译:
III–V CMOS的最佳器件架构和异质集成方案
作者:
Yuan Ze
;
Kumar Archana
;
Chen Chien-Yu
;
Nainani Aneesh
会议名称:
《Symposium on VLSI Technology》
|
2013年
80.
Bit Cost Scalable (BiCS) technology for future ultra high density storage memories
机译:
用于未来超高密度存储存储器的比特成本可扩展(BiCS)技术
作者:
Nitayama Akihiro
;
Aochi Hideaki
会议名称:
《Symposium on VLSI Technology》
|
2013年
81.
Comprehensive understanding of conductive filament characteristics and retention properties for highly reliable ReRAM
机译:
全面了解导电丝的特性和保持性能,从而获得高度可靠的ReRAM
作者:
Muraoka S.
;
Ninomiya T.
;
Wei Z.
;
Katayama K.
会议名称:
《Symposium on VLSI Technology》
|
2013年
82.
Effects of layout and process parameters on device/circuit performance and variability for 10nm node FinFET technology
机译:
布局和工艺参数对10nm节点FinFET技术的器件/电路性能和可变性的影响
作者:
Kang Chang Yong
;
Sohn Changwoo
;
Baek Rock-Hyun
;
Hobbs Chris
会议名称:
《Symposium on VLSI Technology》
|
2013年
83.
Modeling RRAM set/reset statistics resulting in guidelines for optimized operation
机译:
对RRAM设置/重置统计信息进行建模,从而为优化操作提供指导
作者:
Degraeve R.
;
Fantini A.
;
Raghavan N.
;
Chen Y.Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
84.
Reliability significant improvement of resistive switching memory by dynamic self-adaptive write method
机译:
动态自适应写方法大大改善了电阻式开关存储器的可靠性
作者:
Song Y.L.
;
Meng Y.
;
Xue X.Y.
;
Xiao F.J.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
ReRAM;
endurance;
retention;
self-adaptive;
85.
Charge injection Super-lattice Phase Change Memory for low power and high density storage device applications
机译:
电荷注入超晶格相变存储器,适用于低功耗和高密度存储设备应用
作者:
Takaura N.
;
Ohyanagi T.
;
Kitamura M.
;
Tai M.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
Charge injection;
PCM;
Super-lattice;
86.
Novel highly scalable multi-level cell for STT-MRAM with stacked perpendicular MTJs
机译:
新型STT-MRAM具有高度可扩展性的多层单元,具有堆叠式垂直MTJ
作者:
Aoki M.
;
Noshiro H.
;
Tsunoda K.
;
Iba Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
MTJ;
Multi-Level Cell;
STT-MRAM;
87.
Low-current domain wall motion MRAM with perpendicularly magnetized CoFeB/MgO magnetic tunnel junction and underlying hard magnets
机译:
具有垂直磁化的CoFeB / MgO磁性隧道结和下方硬磁体的低电流畴壁运动MRAM
作者:
Suzuki T.
;
Tanigawa H.
;
Kobayashi Y.
;
Mori K.
会议名称:
《Symposium on VLSI Technology》
|
2013年
88.
0.5V image processor with 563 GOPS/W SIMD and 32bit CPU using high voltage clock distribution (HVCD) and adaptive frequency scaling (AFS) with 40nm CMOS
机译:
具有563 GOPS / W SIMD和32位CPU的0.5V图像处理器,使用高压时钟分配(HVCD)和具有40nm CMOS的自适应频率缩放(AFS)
作者:
Nomura M.
;
Muramatsu A.
;
Takeno H.
;
Hattori S.
会议名称:
《Symposium on VLSI Technology》
|
2013年
89.
Application of low-noise TIA ICs for novel sensing of MOSFET noise up to the GHz region
机译:
低噪声TIA IC在新颖感应高达GHz范围的MOSFET噪声中的应用
作者:
Ohmori Kenji
;
Hasunuma Ryu
;
Yamamoto Satoshi
;
Tamura Yoshinori
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
MOSFET;
TIA;
noise;
noise probe;
90.
Executive committees
机译:
执行委员会
会议名称:
《Symposium on VLSI Technology》
|
2013年
91.
Enabling circuit design using FinFETs through close ecosystem collaboration
机译:
通过紧密的生态系统协作使用FinFET进行电路设计
作者:
Sheu Bing J.
;
Chang Chih-Sheng
;
Chen Yen-Huei
;
Wang Ken
会议名称:
《Symposium on VLSI Technology》
|
2013年
92.
Benefits of segmented Si/SiGe p-channel MOSFETs for analog/RF applications
机译:
分段式Si / SiGe p沟道MOSFET在模拟/ RF应用中的优势
作者:
Xu Nuo
;
Ho Byron
;
Zheng Peng
;
Wood Bingxi
会议名称:
《Symposium on VLSI Technology》
|
2013年
93.
Scaling strategy for low power RF applications with multi gate oxide Dual Work function (DWF) MOSFETs utilizing self-aligned integration scheme
机译:
具有自对准积分方案的多栅极氧化物双功函数(DWF)MOSFET的低功率RF应用的缩放策略
作者:
Miyata T.
;
Kawanaka S.
;
Hokazono A.
;
Ohguro T.
会议名称:
《Symposium on VLSI Technology》
|
2013年
94.
Experimental study of channel doping concentration impacts on random telegraph signal noise and successful noise suppression by strain induced mobility enhancement
机译:
沟道掺杂浓度对随机电报信号噪声影响及应变诱导迁移率增强成功抑制噪声的实验研究
作者:
Chen J.
;
Higashi Y.
;
Hirano I.
;
Mitani Y.
会议名称:
《Symposium on VLSI Technology》
|
2013年
95.
System design considerations for next generation wireless mobile devices
机译:
下一代无线移动设备的系统设计注意事项
作者:
Gilmore Rob
会议名称:
《Symposium on VLSI Technology》
|
2013年
关键词:
1000x;
always-on always-aware;
band combinations;
battery technology;
co-existence;
context aware;
femtocell;
heterogeneous processing;
hetnet;
low power;
mobile antennas;
mobile capacity;
mobile platform;
mobile power amplifier;
monolithic 3d;
multi-core;
situation awareness;
smartphone;
96.
High-performance Si1#x2212;xGex channel on insulator trigate PFETs featuring an implant-free process and aggressively-scaled fin and gate dimensions
机译:
绝缘体三栅PFET上的高性能Si1-xGex通道具有无注入工艺和大幅扩展的鳍片和栅极尺寸
作者:
Hashemi P.
;
Kobayashi M.
;
Majumdar A.
;
Yang L.A.
会议名称:
《Symposium on VLSI Technology》
|
2013年
97.
Examination of physical origins limiting effective mobility of Ge MOSFETs and the improvement by atomic deuterium annealing
机译:
检查限制Ge MOSFET有效迁移率的物理原点以及原子氘退火的改进
作者:
Zhang R.
;
Lin J-C.
;
Yu X.
;
Takenaka M.
会议名称:
《Symposium on VLSI Technology》
|
2013年
98.
Enhancement of high-Ns electron mobility in sub-nm EOT Ge n-MOSFETs
机译:
亚纳米EOT Ge n-MOSFET中高Ns电子迁移率的增强
作者:
Lee C.H.
;
Lu C.
;
Tabata T.
;
Nishimura T.
会议名称:
《Symposium on VLSI Technology》
|
2013年
99.
High-performance inductors for integrated fan-out wafer level packaging (InFO-WLP)
机译:
用于集成扇出晶圆级封装(InFO-WLP)的高性能电感器
作者:
Chen S.M.
;
Huang L.H.
;
Yeh J.H.
;
Lin Y.J.
会议名称:
《Symposium on VLSI Technology》
|
2013年
100.
Strained extremely-thin body In0.53Ga0.47As-on-insulator MOSFETs on Si substrates
机译:
硅衬底上的应变极薄的In0.53Ga0.47As绝缘体上MOSFET
作者:
Kim S.H.
;
Yokoyama M.
;
Nakane R.
;
Ichikawa O.
会议名称:
《Symposium on VLSI Technology》
|
2013年
意见反馈
回到顶部
回到首页