掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
科研证明
科技查新
收录引用
期刊封面目录
文献服务
文献查询
专题文献代查
自科基金查询
文献下载
个人文献会员
文献数据库
(团队版)
文献阅读
期刊订阅
文档翻译
文字翻译
图片翻译
格式转换
文献写作
AI选题
AI大纲
AI创作
文献发表
论文查重
选刊投稿
全部产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Annual SEMI Advanced Semiconductor Manufacturing Conference
Annual SEMI Advanced Semiconductor Manufacturing Conference
召开年:
2018
召开地:
Saratoga Springs(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
87
条结果
1.
A multi-purpose operational capacity and production planning tool
机译:
多功能运营能力和生产计划工具
作者:
Quentin Christ
;
Stéphane Dauzère-Pérès
;
Guillaume Lepelletier
;
Philippe Vialletelle
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Capacity planning;
Engines;
Tools;
Planning;
Delays;
2.
Advanced defect inspection techniques for NFET and PFET defectivity at 7nm gate poly removal process
机译:
先进的缺陷检查技术,可在7nm栅极多晶硅去除工艺上实现NFET和PFET缺陷
作者:
Ian Tolle
;
Michael Daino
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Inspection;
Logic gates;
Monitoring;
Tools;
Scanning electron microscopy;
Random access memory;
Optical noise;
3.
A first-time exploration into sequenced multimodality saves big data for high-quality wafer-manufacturing
机译:
首次探索序列化多模态可保存大量数据,以进行高质量的晶圆制造
作者:
Lieyi Sheng
;
Wei Pan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Logic gates;
Production;
Tools;
Semiconductor device reliability;
Hafnium;
4.
A systematic approach to secure data collection across an OEM's fleet of tools
机译:
一种系统的方法来保护OEM工具组中的数据收集
作者:
Doug Suerich
;
Veronica Consens
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Data collection;
Tools;
Security;
Cloud computing;
Prototypes;
NIST;
Computer architecture;
5.
Advanced industrial S/TEM automation and metrology: Boundary of precision
机译:
先进的工业S / TEM自动化和计量:精度的界限
作者:
Haiyan Tan
;
Weihao Weng
;
Raghaw Rai
;
Chris Kang
;
Laurent Dumas
;
Irene Brooks
;
Ahmad Katnani
;
Zhenxin Zhong
;
Chris Hakala
;
Yinggang Lu
;
John Fretwell
;
Timothy A. Johnson
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metrology;
Throughput;
Image edge detection;
Imaging;
Signal to noise ratio;
Probes;
Market research;
6.
Advanced Materials
1
机译:
先进材料
1 sup>
作者:
David Rhodes
;
Saurabh Chowdury
;
Sung Yea
;
Jose Guerrero
;
Peter Smith
;
Nicholas Bushnell
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Nickel;
Reliability;
HEMTs;
Gallium nitride;
Plating;
Metallization;
Gold;
7.
Shortest path CD measurement using contour extraction
机译:
使用轮廓提取的最短路径CD测量
作者:
Oliver D. Patterson
;
Bart Seefeldt
;
Wan-Hsiang Liang
;
Haokun Hu
;
Joan Chen
;
Yu-Chi Su
;
Hsiang Ting Yeh
;
Pengcheng Zhang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Semiconductor device measurement;
Inspection;
Shape;
Silicon-on-insulator;
Throughput;
Logic gates;
8.
Standardising utility savings
机译:
标准化公用事业节省
作者:
Michael Czerniak
;
Andreas Neuber
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Market research;
Standards;
Semiconductor device modeling;
Fuels;
Vacuum systems;
9.
Heterogranular multivariate analytics for detecting and controlling the root causes of the mismatching machines in semiconductor manufacturing
机译:
用于检测和控制半导体制造中不匹配机器的根本原因的异质多变量分析
作者:
Aabir Chouichi
;
Jakey Blue
;
Claude Yugma
;
Francois Pasqualini
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Maintenance engineering;
Tools;
Production;
Semiconductor device measurement;
Metrology;
Matrix decomposition;
10.
Brush cleaning effect on tugnsten voids defect in chemical mechanical polishing: CFM: Contamination free manufacturing
机译:
刷子清洁对化学机械抛光中钨空隙缺陷的影响:CFM:无污染的制造
作者:
Hong Jin Kim
;
Bryan Egan
;
Robert Solan
;
Xingzhao Shi
;
Ja-Hyung Han
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tungsten;
Brushes;
Cleaning;
Chemicals;
Corrosion;
Torque;
11.
A multi-factorial approach for middle-of-line design rule validation and optimization in 22FDX®
机译:
用于22FDX®中线设计规则验证和优化的多因素方法
作者:
Benoit Ramadout
;
Deepal Wehella-Gamage
;
Thomas Staiger
;
Katherina Babich
;
Hans-Peter Moll
;
Jin Wallner
;
Oliver D. Patterson
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Logic gates;
Layout;
Inspection;
Contacts;
Standards;
Substrates;
Performance evaluation;
12.
Applying the Discrete Network Design Problem (DNDP) for designing AMHS layouts in semiconductor fabs
机译:
应用离散网络设计问题(DNDP)设计半导体晶圆厂的AMHS布局
作者:
Gerrit M. Kortus
;
Martin Däumler
;
Thorsten Schmidt
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Layout;
Transportation;
Heuristic algorithms;
Indexes;
Simulated annealing;
Minimization;
Productivity;
13.
Determining a security roadmap for the microelectronics industry
机译:
确定微电子行业的安全路线图
作者:
James Moyne
;
Supika Mashiro
;
David Gross
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Security;
Microelectronics;
IP networks;
Manufacturing;
Standards;
Big Data;
14.
STI HARP gap-fill thickness uniformity improvement for 14nm nodes
机译:
14nm节点的STI HARP间隙填充厚度均匀性改善
作者:
Minrui Wang
;
Hui Kim
;
Yang Bum Lee
;
Francis Fonda
;
Jeremy Lansford
;
Jim Martiniano
;
Harold Carter
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Annealing;
Stress;
Face;
Aging;
Chemicals;
Filling;
Transistors;
15.
Identifying cycle time factors and its relative impact on tools in semi-conductor fab using statistical inferences
机译:
使用统计推断确定周期时间因素及其对半导体工厂中工具的相对影响
作者:
Atirek Wribhu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Mathematical model;
Linear regression;
Correlation;
Data mining;
Data models;
Analytical models;
16.
Inline detection for FinFET gate poly footing using e-Tilt metrology
机译:
使用e-Tilt计量技术在线检测FinFET栅极多晶硅基础
作者:
Xiaoxiao Zhang
;
Mert Karakoy
;
Kejia Wu
;
Zhuangfei Chen
;
Zhenhua Ge
;
Navi Krishnan
;
Amit Siany
;
Shimon Levi
;
Ishai Schwarzband
;
Roman Kris
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Logic gates;
Metrology;
FinFETs;
Imaging;
Sensitivity;
Correlation;
Three-dimensional displays;
17.
Advanced run-to-run controller in semiconductor manufacturing with real-time equipment condition: APC: Advanced process control; AM: Advanced metrology
机译:
具有实时设备条件的半导体制造中的高级运行对运行控制器:APC:高级过程控制; AM:高级计量
作者:
Wei-Ting Yang
;
Jakey Blue
;
Agnès Roussy
;
Marco Reis
;
Jacques Pinaton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metrology;
Semiconductor device modeling;
Process control;
Real-time systems;
Graphics;
Computational modeling;
Markov processes;
18.
Asymmetric etching profile control during high aspect ratio Plasma etch
机译:
高纵横比等离子蚀刻过程中的非对称蚀刻轮廓控制
作者:
Zusing Yang
;
Li-Ian Wu
;
Sheng-Yuan Chang
;
Yuan-Chieh Chiu
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
;
Chih-Yuan Lu
;
Hayato Watanabe
;
Yinhwa Cheng
;
Takao Arase
;
Masahito Mori
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Etching;
Plasmas;
Three-dimensional displays;
Erbium;
Shape control;
Conductors;
Polymers;
19.
Inline SEM imaging of buried defects using novel electron detection system: DI: Defect inspection and reduction
机译:
使用新型电子检测系统对掩埋缺陷进行在线SEM成像:DI:缺陷检查和减少
作者:
Abhinav Jain
;
John G. Sheridan
;
Felix Levitov
;
Victor Aristov
;
Shay Yasharzade
;
Hoang Nguyen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Scanning electron microscopy;
Inspection;
Tools;
Failure analysis;
Electron beams;
Monitoring;
20.
Gross substrate defects caused by thermal gradients in high temperature furnace processes
机译:
由高温炉工艺中的热梯度导致的总基材缺陷
作者:
Fauzia Khatkhatay
;
Satish Singh
;
Stewart Wenner
;
Loyd Perrymore
;
Danda Acharya
;
Carlos Chacon
;
Amit Gupta
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Furnaces;
Annealing;
Boats;
Tools;
Substrates;
Strain;
Inspection;
21.
Study of Ti/TiN bump defect formation mechanism and elimination by etch process optimization
机译:
Ti / TiN凸点缺陷形成机理及刻蚀工艺优化消除的研究
作者:
Li-Lan Wu
;
Yuan-Chieh Chiu
;
Zusing Yang
;
Sheng-Yuan Chang
;
Hong-Ji Lee
;
Nan-Tzu Lian
;
Tahone Yang
;
Kuang-Chao Chen
;
Chih-Yuan Lu
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Etching;
Plasmas;
Radio frequency;
Metallization;
Plugs;
22.
Study of titanium nitride underlayer properties and its influence on tungsten growth
机译:
氮化钛底层性能及其对钨生长的影响研究
作者:
Shanti Pancharatnam
;
Jean Wynne
;
Gauri Karve
;
Adra V. Carr
;
Brock Mendoza
;
Lisamarie White
;
Gabriel Rodriguez
;
Scott De Vries
;
Wei Wang
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tin;
Resistance;
Monitoring;
Tungsten;
Titanium nitride;
Electrical resistance measurement;
Rough surfaces;
23.
Application of scatterometry-based machine learning to control multiple electron beam lithography: AM: Advanced metrology
机译:
基于散射测量的机器学习在控制多电子束光刻中的应用:AM:高级计量
作者:
Nivea Figueiro
;
Francisco Sanchez
;
Roy Koret
;
Michael Shifrin
;
Yoav Etzioni
;
Shay Wolfling
;
Matthew Sendelbach
;
Yoann Blancquaert
;
Thibault Labbaye
;
Guido Rademaker
;
Jonathan Pradelles
;
Lucie Mourier
;
Stephane Rey
;
Laurent Pain
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Radar measurements;
Machine learning;
Semiconductor device measurement;
Lithography;
Correlation;
Metrology;
Training;
24.
BOX breakdown: A novel defect mode in a 14nm SOI FinFET technology
机译:
BOX故障:14nm SOI FinFET技术中的新型缺陷模式
作者:
Ryan Rettmann
;
Tim McCormack
;
Oliver D. Patterson
;
Hong Lin
;
Karen Nummy
;
Dan Poindexter
;
Paul Parries
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Substrates;
Inspection;
FinFETs;
Planarization;
Plasmas;
Dielectric breakdown;
25.
Liquid-metal-jet X-ray technology for nanoelectronics characterization and metrology
机译:
液态金属喷射X射线技术用于纳米电子学表征和计量
作者:
Julius Hållstedt
;
Emil Espes
;
Ulf Lundström
;
Björn Hansson
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Anodes;
Metrology;
Brightness;
Gallium;
Semiconductor device measurement;
X-ray imaging;
26.
Forging basic elements of cyber-physical systems in industry 4.0 with parametric characterization for FDC
机译:
通过FDC的参数表征锻造工业4.0中的网络物理系统的基本元素
作者:
Keung Hui
;
Leo Ke
;
S Y Sheen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Process control;
Feature extraction;
Temperature;
Biological system modeling;
27.
Review-SEM image analysis with K-means algorithm: AM: Advanced metrology/DI: Defect inspection
机译:
使用K-means算法的Review-SEM图像分析:AM:高级计量/ DI:缺陷检查
作者:
Sandip Halder
;
Dorin Cerbu
;
Mohamed Saib
;
Philippe Leray
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Feature extraction;
Inspection;
Optical imaging;
Tools;
Ultraviolet sources;
Tin;
Process control;
28.
Topography hotspot-aware process control metrology cell insertion: DFM: Design for manufacturability
机译:
地形热点感知过程控制度量衡单元的插入:DFM:可制造性设计
作者:
Md Rezaul Nishat
;
Ushasree Katakamsetty
;
Vikas Mehrotra
;
Howard Landis
;
Sam Nakagawa
;
Gazi Huda
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Phase change materials;
Surfaces;
Metals;
Process control;
Layout;
Lithography;
Metrology;
29.
Airborne molecular contamination: Formation, impact, measurement and removal of nitrous acid (HNO
2
)
机译:
空气传播的分子污染:亚硝酸(HNO
2 inf>)的形成,影响,测量和去除
作者:
Jürgen M Lobert
;
Reena Srivastava
;
Frank Belanger
会议名称:
《》
|
2018年
关键词:
Compounds;
Optical filters;
Surface treatment;
Nitrogen;
Pollution measurement;
Atmospheric measurements;
30.
Computational fluid dynamics modelling for refining component design: AEM: Advanced equipment and material processes
机译:
用于精炼组件设计的计算流体动力学建模:AEM:先进的设备和材料工艺
作者:
Jack Geiger
;
Ruslan Aliev
;
Howard Base
;
Joel Rozga
;
Mounir Ibraham
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Computational fluid dynamics;
Computational modeling;
Structural rings;
Chemistry;
Numerical models;
Millimeter wave devices;
31.
Manufacturing application study of CdZnTe wafers using automated X-ray metrology
机译:
使用自动X射线计量学的CdZnTe晶片制造应用研究
作者:
W. L. She
;
L. Q. Zhou
;
Q. Bo
;
R. Bytheway
;
O. Whear
;
P. Gin
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Substrates;
X-ray imaging;
X-ray diffraction;
II-VI semiconductor materials;
Cadmium compounds;
Monitoring;
Crystals;
32.
Enabling 5G — A substrate material perspective: AEM, ET/ID
机译:
支持5G —从基材角度看:AEM,ET / ID
作者:
Ionut Radu
;
Eric Desbonnets
;
Manuel Sellier
;
Christophe Didier
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Substrates;
Indium phosphide;
5G mobile communication;
III-V semiconductor materials;
Radio frequency;
Transistors;
Silicon;
33.
Particle reduction in high temperature sulfuric acid using PTFE membrane filter and low pulsation bellows pump
机译:
使用PTFE膜过滤器和低脉动波纹管泵减少高温硫酸中的颗粒
作者:
Tomoyuki Takakura
;
Katsuhiko Tokuno
;
Shuichi Tsuzuki
;
Kenji Yamazaki
;
Ai Tomotoshi
;
Kazukiyo Teshima
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Bellows;
Chemicals;
Pumps;
Fluctuations;
Atmospheric measurements;
Particle measurements;
Cleaning;
34.
Trace metal contamination analysis of wafer edge and bevel by automated VPD ICP-MS: CFM: Contamination free manufacturing
机译:
通过自动VPD ICP-MS对晶片边缘和斜角进行痕量金属污染分析:CFM:无污染制造
作者:
Vijay Chowdhury
;
David Simionas
;
Kiera Fu
;
Janie Huang
;
Peng Sun
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Image edge detection;
Contamination;
Metals;
Pollution measurement;
Semiconductor device measurement;
Manufacturing;
Silicon;
35.
CD-TEM: Characterizing impact of TEM sample preparation on CD metrology
机译:
CD-TEM:表征TEM样品制备对CD计量学的影响
作者:
Anne Kenslea
;
Chris Hakala
;
Zhenxin Zhong
;
Yinggang Lu
;
John Fretwell
;
Jack Hager
;
Chris Kang
;
Haiyan Tan
;
Weihao Weng
;
Laurent Dumas
;
Irene Brooks
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metrology;
Logic gates;
Standards;
Three-dimensional displays;
Microscopy;
Automation;
Thickness measurement;
36.
Deep reinforcement learning for semiconductor production scheduling
机译:
用于半导体生产计划的深度强化学习
作者:
Bernd Waschneck
;
André Reichstaller
;
Lenz Belzner
;
Thomas Altenmüller
;
Thomas Bauernhansl
;
Alexander Knapp
;
Andreas Kyek
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Neural networks;
Job shop scheduling;
Dispatching;
Production facilities;
Machine learning;
Optimization;
37.
Innovative use of FA techniques SCM and OBIRCH along with TCAD to resolve junction scaling issues at advanced technology nodes
机译:
创新地使用FA技术SCM和OBIRCH以及TCAD来解决高级技术节点上的结缩放问题
作者:
Gregory Johnson
;
Jochonia Nxumalo
;
Ankur Arya
;
Jeffrey Johnson
;
Qun Gao
;
Brian Greene
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Junctions;
Semiconductor process modeling;
Implants;
Random access memory;
Logic gates;
Capacitance;
Tungsten;
38.
Micro bump height derivation control with dynamic sizing patterning
机译:
具有动态尺寸调整图案的微凸块高度推导控制
作者:
C. C. Liu
;
J. H. Chen
;
Y. N. Hsu
;
M. H. Tsai
;
C. C. Hung
;
R. D. Wang
;
C. S. Liu
;
T. H. Pan
;
C. S. Chen
;
K. C. Liu
;
Harry Ku
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Stacking;
Flip-chip devices;
Integrated circuits;
Bridges;
Risk management;
Resists;
Lithography;
39.
Process queue time control, reactive or proactive?
机译:
进程队列时间控制是被动式还是主动式?
作者:
Chienfan Yu
;
Laura Bauman
;
Vickie Jophlin-Gut
;
Garrett Oakley
;
Michael Carbonnell
;
Zoey Sowinski
;
Edward Sherwood
;
Katherine Hawkins
;
Ryan Kelly
;
Rebekah Sheraw
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Silicon;
Sensitivity;
Tools;
Moisture;
Process control;
Humidity;
40.
Opportunities, challenges and use cases of digitization within the semiconductor industry
机译:
半导体行业数字化的机遇,挑战和用例
作者:
Germar Schneider
;
Sophia Keil
;
Gerhard Luhn
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Automation;
Transportation;
Production facilities;
Manufacturing;
Standards;
Complexity theory;
Databases;
41.
Anode passivation mitigation in ultra thick metal plating
机译:
超厚金属镀层中阳极钝化的缓解
作者:
Mark Rovereto
;
Antonio Fiacco
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Anodes;
Plating;
Passivation;
Feeds;
Tools;
Copper;
42.
Design based automatic defect classification at advanced technology nodes: DI: Defect inspection and reduction
机译:
在先进技术节点上基于设计的自动缺陷分类:DI:缺陷检查和减少
作者:
Jay Shah
;
Abhinav Jain
;
Felix Levitov
;
Shay Yasharzade
;
John G. Sheridan
;
Vu Nguyen
;
Hoang Nguyen
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Inspection;
Image segmentation;
Tools;
Runtime;
Logic gates;
Scanning electron microscopy;
Robustness;
43.
Leveraging focus spot monitoring Data in FEOL to resolve a high impact MOL defect: DI: Defect inspection and reduction
机译:
利用FEOL中的焦点监视数据来解决影响较大的MOL缺陷:DI:缺陷检查和减少
作者:
Fauzia Khatkhatay
;
Chih-Chieh Huang
;
Ludmila Popova
;
Jongyoon Yoon
;
Thomas Zalocha
;
Phillip Tatti
;
Krishan Gopal
;
Hongliang Shen
;
Ho Young Song
;
Amit Gupta
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Monitoring;
Market research;
Inspection;
Lithography;
Data mining;
Sociology;
44.
Minimally invasive servicing design
机译:
微创服务设计
作者:
Carlos Strocchia-Rivera
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metrology;
Minimally invasive surgery;
Contamination;
Production;
Tools;
45.
The value and effectiveness of sensor trace analytics in solving yield impact issues: A case study
机译:
传感器跟踪分析在解决良率影响问题中的价值和有效性:一个案例研究
作者:
Hein Mun Lam
;
Michael Zhao
;
Ski Sim
;
Kim Kok Gan
;
Tom Ho
;
Joe Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Helium;
Tools;
Software tools;
Silicides;
Monitoring;
Production;
46.
Deep learning based automatic defect classification in through-silicon Via process: FA: Factory automation
机译:
硅通孔工艺中基于深度学习的自动缺陷分类:FA:工厂自动化
作者:
Joongsoo Kim
;
Sihwan Kim
;
Namyeong Kwon
;
Hyohyeong Kang
;
Yongduk Kim
;
Changhuhn Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Through-silicon vias;
Feature extraction;
Image classification;
Visualization;
Training;
Shape;
Bars;
47.
A wafer map yield model based on deep learning for wafer productivity enhancement
机译:
基于深度学习的晶圆图良率模型,可提高晶圆生产率
作者:
Sung-Ju Jang
;
Jee-Hyong Lee
;
Tae-Woo Kim
;
Jong-Seong Kim
;
Hyun-Jin Lee
;
Jong-Bae Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Semiconductor device modeling;
Productivity;
Predictive models;
Manufacturing;
Prediction algorithms;
Machine learning;
Data models;
48.
Electron beam inspection: CDU dual-mode inspection and lithography ghost image detection
机译:
电子束检查:CDU双模检查和光刻重影检测
作者:
Richard F. Hafer
;
Oliver D. Patterson
;
Derek McKindles
;
Brian Yueh-Ling Hsieh
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Inspection;
Logic gates;
Tools;
Random access memory;
Clamps;
Lithography;
Radar measurements;
49.
Bare wafer analysis for wet cleaning efficiency — The impact of classification and sensitivity
机译:
裸晶片分析以提高湿清洁效率—分类和灵敏度的影响
作者:
Kay Wendt
;
Fabian Wilbers
;
Jochen Ruth
;
Christophe Lorant
;
Frank Holsteyns
;
John Newby
;
Gerhard Bast
;
Vignesh Sundar
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Sensitivity;
Inspection;
Silicon;
Surface cleaning;
50.
Modelling pattern dependent variations in semi-additive copper electrochemical plating: AP/DFM: Advanced patterning / design for manufacturability
机译:
在半添加铜电化学电镀中建模与图案相关的变化:AP / DFM:先进的图案/可制造性设计
作者:
Christopher Lang
;
Duane Boning
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Layout;
Plating;
Copper;
Semiconductor device modeling;
TV;
Predictive models;
Spatial filters;
51.
Alignment solutions on FBEOL layers using ASML scanners: AEPM: Advanced equipment processes and materials
机译:
使用ASML扫描仪在FBEOL层上的对准解决方案:AEPM:先进的设备工艺和材料
作者:
Pavan Samudrala
;
Gregory Hart
;
Yen-Jen Chen
;
Lokesh Subramany
;
Haiyong Gao
;
Nyan Aung
;
Woong Jae Chung
;
Blandine Minghetti
;
Rajan Mali
;
Seva Khikhlovskyi
;
Pieter Heres
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Lasers;
Finite impulse response filters;
Semiconductor device modeling;
Probes;
Image color analysis;
Light sources;
Periodic structures;
52.
Enhancing flexibility and robustness of semiconductor production by using autonomous modular services
机译:
通过使用自主模块化服务提高半导体生产的灵活性和健壮性
作者:
Sophia Keil
;
Germar Schneider
;
Mathias Kuttig
;
Arthur Deutschländer
;
Arnold Lange
;
Harald Heinrich
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Production facilities;
Automation;
Software;
Robot sensing systems;
Safety;
53.
A novel approach to tool monitoring for furnace tools with dynamic recipe management
机译:
动态配方管理的新型炉具监控工具
作者:
Shiladitya Chakravorty
;
Chihyun Jung
;
Garrett Szafman
;
Jaana Rajachidambaram
;
Bradley Savoy
;
Satyajit Shinde
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Qualifications;
Dispatching;
Furnaces;
Monitoring;
Job shop scheduling;
54.
Effective Epi process window monitoring by high resolution massive CDU metrology: Topic: AM (Advanced metrology)
机译:
通过高分辨率大规模CDU计量进行有效的Epi过程窗口监视:主题:AM(高级计量)
作者:
Z Y Chen
;
T Y Chen
;
Ido Holcman
;
Bruce Tseng
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Monitoring;
Metrology;
Process control;
Semiconductor device measurement;
Epitaxial growth;
Inspection;
55.
Detection of thermal donors from electrically active oxygen interstitials by optical second harmonic generation
机译:
通过光学二次谐波从电活性氧间隙中检测热供体
作者:
Ming Lei
;
Jacqueline Zou
;
Justin Lee
;
John Changala
;
Brian Larzelere
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Silicon;
Optical harmonic generation;
Electric fields;
Nonlinear optics;
Substrates;
Annealing;
Optical sensors;
56.
Hybrid clean for applied materials 200mm centura reduced pressure EPI application
机译:
适用于应用材料的混合清洁200mm centura减压EPI应用
作者:
Thomas Ackermann
;
Boris Binder
;
Jenny Schmidt
;
Joerg Radecker
;
Carlos Caballero
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Visualization;
Inspection;
Coatings;
Hardware;
Cleaning;
Epitaxial growth;
57.
Design systematic weak point discovery optimization
机译:
设计系统的弱点发现优化
作者:
Sonal Singh
;
Panneer Selvam Venkatachalam
;
Julie Lee
;
Michael Daino
;
Barry Saville
;
Chet Lenox
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Inspection;
Signal to noise ratio;
Semiconductor device modeling;
Tools;
Optical polarization;
Mathematical model;
Systematics;
58.
Nanoprober image based localization techniques for SOI technology
机译:
用于SOI技术的基于Nanoprober图像的定位技术
作者:
Sweta Pendyala
;
Stephen Lucarini
;
Michael Tenney
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Capacitance;
Logic gates;
Probes;
Nanoscale devices;
Rails;
Latches;
Imaging;
59.
Defect learning methodology applied to microbump process at 20μm pitch and below
机译:
缺陷学习方法应用于间距为20μm及以下的微凸点工艺
作者:
M. Liebens
;
J. Slabbekoorn
;
A. Miller
;
E. Beyne
;
M. Stoerring
;
S. Hiebert
;
A. Cross
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Inspection;
Metrology;
Resists;
Stacking;
Process control;
Three-dimensional displays;
Lithography;
60.
Fully automated in-line optical test system: Advanced materials photonics
机译:
全自动在线光学测试系统:先进的材料和光子学
作者:
Shuren Hu
;
Andy Stricker
;
Kate McLean
;
Calvin Ma
;
Subharup Gupta Roy
;
Dean Percy
;
John Cartier
;
David Clark
;
Raymond Van Roijen
;
Bart Green
;
Kevin Dezfulian
;
Louis Medina
;
John Ferrario
;
David Riggs
;
Ken Giewont
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Optical coupling;
Couplings;
Optical fibers;
Couplers;
Optical interferometry;
61.
An approach to fuzzy control of target function in consideration of equipment flexibility and workload in semiconductor photo lithography operations
机译:
考虑设备灵活性和半导体光刻操作中工作量的目标函数模糊控制方法
作者:
Kuan-Chang Chen
;
Bacon Tseng
;
Yu-Chih Wang
;
Yih-Yi Lee
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Fabrication;
Production facilities;
Dispatching;
Job shop scheduling;
Fuzzy sets;
62.
High density epitaxial unwanted growth and its effect on planarization in FINFET process
机译:
高密度外延有害生长及其对FINFET工艺中平面化的影响
作者:
Pit Fee Jao
;
Chia Hao Tsao
;
Ludmila Popova
;
Jagadeesh Yarramsetty
;
Brad Chen
;
Shashidhar Shintri
;
Mariappan Hariharaputhiran
;
Venkat Kolagunta
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metrology;
Logic gates;
Silicon germanium;
Epitaxial growth;
Silicon;
Silicon compounds;
Monitoring;
63.
Micro-photoluminescence imaging of dislocation generation in 0.18μm power semiconductor devices with deep trenches
机译:
具有深沟槽的0.18μm功率半导体器件中位错产生的微光致发光成像
作者:
B. Greenwood
;
J.P. Gambino
;
Y. Watanabe
;
L. Jastrzebski
;
G. Nadudvari
;
D. T. Cseh
;
L. Roszol
;
G. Molnar
;
I. Lajtos
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Diffusion tensor imaging;
Silicon;
Photoluminescence;
Logic gates;
Stress;
Annealing;
64.
On the increase of the controllability matrix rank in non-threaded run-to-run control
机译:
关于无线程运行间控制中可控性矩阵秩的增加
作者:
Taki Eddine Korabi
;
Guillaume Graton
;
El Mostafa El Adel
;
Mustapha Ouladsine
;
Jacques Pinaton
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Controllability;
Kalman filters;
Tools;
Process control;
Electronics industry;
Context;
Estimation;
65.
A bi-criteria mixed integer linear programming model for load balancing and chemical saving in wafer cleaning processes: IE: Industrial engineering
机译:
用于晶圆清洗过程中的负载平衡和化学节省的双标准混合整数线性规划模型:IE:工业工程
作者:
Subramanian Pazhani
;
Thomas Beeg
;
Kristopher Kowalczyk
;
Todd Dietrich
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Chemicals;
Tools;
Dispatching;
Load management;
Cleaning;
Resource management;
Measurement;
66.
Novel surface scanning inspection system for opaque and transparent substrates using laser Doppler velocimetry
机译:
使用激光多普勒测速仪的不透明和透明基材的新型表面扫描检测系统
作者:
Mayeul Durand de Gevigney
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Doppler effect;
Substrates;
Probes;
Laser beams;
Sensitivity;
Mathematical model;
Inspection;
67.
Method of problem solving to diagnose high particle failures due to unique rotation stopping position: CFM: Contamination free manufacturing
机译:
解决由于独特的旋转停止位置而导致的高颗粒故障的诊断方法:CFM:无污染的制造
作者:
Jessica Gruss-Gifford
;
Thomas Haigh
;
Paul Hall
;
Jean Wynne
;
John Grassucci
;
David OMeara
;
Josh Prendergast
;
Kyle Dwyer
;
Paul Higgins
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Frequency modulation;
Films;
Boats;
Atmospheric measurements;
Particle measurements;
Temperature measurement;
Furnaces;
68.
Particle reduction in back end of line plasma-etching process: CFM: Contamination free manufacturing
机译:
在线等离子蚀刻工艺后端的颗粒减少:CFM:无污染的制造
作者:
Lijuan Zou
;
Alex Vaghese
;
Vinay Pai
;
Jeffrey Shearer
;
Spyridon Skordas
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Contamination;
Discharges (electric);
Etching;
Plasmas;
Manufacturing;
Fault location;
69.
Full field and full tensor stress metrology for flexible electronics, packaging, and optoelectronic applications: AM: Advanced metrology
机译:
适用于柔性电子,封装和光电应用的全场和全张量应力度量:AM:高级度量
作者:
Wojtek J Walecki
;
Wei-Chun Hung
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Stress;
Films;
Optical filters;
Cameras;
Image color analysis;
Mathematical model;
Metrology;
70.
Metal CMP process optimization for low abrasive slurry
机译:
用于低磨料浆的金属CMP工艺优化
作者:
Subhadeep Mukherjee
;
Gagan Aggarwal
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Slurries;
Optimization;
Chemicals;
Abrasives;
Copper;
Plating;
71.
Wafer backside cleaning for defect reduction and litho hot spots mitigation: DI: Defect inspection and reduction
机译:
晶圆背面清洁可减少缺陷并减轻光刻热点:DI:缺陷检查和减少
作者:
Elango Balu
;
Wei-Tsu Tseng
;
David Jayez
;
Jay Mody
;
Keith Donegan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Tools;
Chemicals;
Brushes;
Rough surfaces;
Surface roughness;
Surface cleaning;
72.
Advantages of using big data in semiconductor manufacturing
机译:
在半导体制造中使用大数据的优势
作者:
Gabe Villareal
;
James Na
;
Joe Lee
;
Tom Ho
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Big Data;
Task analysis;
Computers;
Tools;
Parallel processing;
73.
In-line XPS to quantify the changes in interfacial layers of advanced node gate stacks
机译:
在线XPS以量化高级节点门堆栈的界面层中的变化
作者:
M. Medikonda
;
B. Kannan
;
B. Cohen
;
V. Chhabra
;
K. Onishi
;
G. Dilliway
;
A.F. Bello
;
M. Klare
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Fabrication;
Logic gates;
Metals;
Oxidation;
Chemical elements;
Films;
Thickness measurement;
74.
Patterning challenges for monolithic silicon photonics: AP/DFM: Advanced patterning / design for manufacturability
机译:
单片硅光子学的图案化挑战:AP / DFM:先进的图案化/可制造性设计
作者:
Colleen Meagher
;
Zoey Sowinski
;
Chienfan Yu
;
Shuren Hu
;
Karen Nummy
;
Mini Modh Ghosal
;
Ramya Viswanathan
;
Amr Abdo
;
Tim Wiltshire
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Photonics;
Silicon;
Couplers;
Optical fiber couplers;
Optical fiber devices;
Semiconductor device modeling;
75.
Uncovering chemical quality improvements through a holistic approach to chemical quality management Contamination Free Manufacturing
机译:
通过整体方法进行化学质量管理,发现化学质量的改进无污染的制造
作者:
Nora Colligan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Chemicals;
Monitoring;
Transportation;
Filtration;
Packaging;
Liquids;
Atmospheric measurements;
76.
Impact of scribe line (kerf) defectivity on wafer yield
机译:
划线(切口)缺陷对晶圆良率的影响
作者:
Fauzia Khatkhatay
;
Ludmila Popova
;
Chih-Chieh Huang
;
Hye Jin Lee
;
Yining Zang
;
Ki Cheol Ahn
;
ChiaHao Tsao
;
Tae Hoon Lee
;
Thirukumaran Mahalingam
;
Haiting Wang
;
Amit Gupta
;
Julie Lee
;
Towshif Ali
;
Joseph Matthew Kaule
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metrology;
Inspection;
Silicon;
Image edge detection;
Optical imaging;
Substrates;
Lithography;
77.
Optimization of BEOL RC monitoring macros for accurate representation of circuit performance
机译:
优化BEOL R&C监视宏,以准确表示电路性能
作者:
Dewei Xu
;
Ravi Srivastava
;
Ushasree Katakamsetty
;
Ernesto Gene de la Garza
;
Hyung Woo Kim
;
Rod Augur
;
Robert Fox
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Metals;
Monitoring;
Resistance;
Surfaces;
Capacitance;
Capacitance measurement;
Process control;
78.
Picosecond ultrasonics: Characterization of single crystal piezoelectric materials for advanced RF filters
机译:
皮秒超声波:高级射频滤波器的单晶压电材料的表征
作者:
C. Hayden
;
J. B. Kwon
;
D. Kim
;
S. Gibb
;
R. Mair
;
J. Dai
;
X. Zeng
;
P. Mukundhan
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Aluminum nitride;
III-V semiconductor materials;
Thickness measurement;
Surface acoustic waves;
Optical surface waves;
Radio frequency;
79.
Gas cluster ion beam processing for improved self aligned contact yield at 7 nm node FinFET: MJ: MOL and junction interfaces
机译:
气体团簇离子束处理可提高在7 nm节点处的自对准接触良率FinFET:MJ:MOL和结界面
作者:
Su Chen Fan
;
Sean Teehan
;
Kisup Chung
;
Alex Varghese
;
Mark Lenhardt
;
Pietro Montanini
;
Spyridon Skordas
;
Bala Haran
;
Stan Tsai
;
Ruilong Xie
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Logic gates;
Silicon compounds;
Metrology;
Planarization;
Metals;
Ion beams;
FinFETs;
80.
The long journey from standardisation to full automation of a mature 200 mm fab
机译:
成熟的200毫米晶圆厂从标准化到实现完全自动化的漫长旅程
作者:
Harald Heinrich
;
Arthur Deutschländer
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Automation;
Tools;
Hardware;
Robots;
Standardization;
Task analysis;
81.
Optimization of wet clean and its cost effectiveness in dual Damascene 14 nm BEOL
机译:
双镶嵌14 nm BEOL中湿法清洁的优化及其成本效益
作者:
Asha Sharma
;
Jacob Bulaga
;
Srishti Agrawal
;
Ravi Srivastava
;
Mukesh Gogna
;
Sunil Singh
;
Silas Scott
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Resistance;
Chemicals;
Cleaning;
Electromigration;
Tools;
Dielectrics;
Strips;
82.
Rapid yield ramp using closed loop DFM and overlay process window qualification flow
机译:
使用闭环DFM和覆盖过程窗口验证流程快速提高产量
作者:
Michael Wojtowecz
;
Deborah Ryan
;
Karthik Krishnamoorthy
;
Nabil Azad
;
Haizhou Yin
;
Pietro Babighian
;
Uwe Schroeder
;
Mark Duggan
;
Panneerselvam Venkatachalam
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Modulation;
Two dimensional displays;
Systematics;
Libraries;
Inspection;
Silicon;
Manufacturing;
83.
Characterization of sub-10 nm filter cleanliness by electrophoretic purification and analysis
机译:
电泳纯化和分析表征低于10 nm的滤光片清洁度
作者:
Makonnen M. Payne
;
Rao Varanasi
;
Glen W. Wildermuth
;
Arthur J. Ackermann
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Cleaning;
Electrodes;
IEC;
Atmospheric measurements;
Particle measurements;
Prototypes;
Microelectronics;
84.
Requirements for first-time-right response in advanced manufacturing
机译:
先进制造中首次获得正确响应的要求
作者:
Boyd Finlay
;
Niels Rackwitz
;
Brian Conerney
;
Eric Warren
;
David Erdmann
;
Kevin Stoddard
;
Alan Weber
;
Thomas Scanlon
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Sensors;
Process control;
Manufacturing;
Vibrations;
Real-time systems;
Data collection;
Control systems;
85.
A novel high-resolution XRD apparatus for patterned epitaxial films in a 50pm pad area with a convergent micro X-ray beam
机译:
一种新颖的高分辨率X射线衍射仪,用于在会聚的微X射线束中在50pm焊盘区域内构图外延膜
作者:
Y. Ito
;
H. Motono
;
K. Omote
;
K. Ogata
;
S. Yoshihara
;
N. Matsushima
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Lattices;
Films;
X-ray diffraction;
Tools;
Diffraction;
Strain;
Stress;
86.
Ring oscillator yield learning methodologies for CMOS technology research
机译:
用于CMOS技术研究的环形振荡器成品率学习方法
作者:
Victor Chan
;
Dallas Lea
;
Marc Bergendahl
;
Gauri Karve
;
T. M. Levin
;
Chun-Wing Yeung
;
Dechao Guo
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Inverters;
Logic gates;
Arrays;
Integrated circuit interconnections;
Gate leakage;
Current measurement;
87.
Measuring the wafer temperature in HVM process tools using a new approach with automated wireless HighTemp-400 and EtchTemp-SE wafer systems
机译:
使用带有自动无线HighTemp-400和EtchTemp-SE晶圆系统的新方法在HVM处理工具中测量晶圆温度
作者:
D Y Kim
;
J I Kim
;
Dinh Chu
;
DongChul Hong
会议名称:
《Annual SEMI Advanced Semiconductor Manufacturing Conference》
|
2018年
关键词:
Temperature measurement;
Plasma temperature;
Temperature sensors;
Temperature distribution;
Tools;
Production;
Process control;
上一页
1
下一页
意见反馈
回到顶部
回到首页