掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
198
条结果
1.
Challenges in a future IP/Ethernet-based in-car network for real-time applications
机译:
面向实时应用的未来基于IP /以太网的车载网络面临的挑战
作者:
Lim Hyung-Taek
;
Volker Lars
;
Herrscher Daniel
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Ethernet;
In-vehicle communication;
Performance Evaluation;
QoS;
Simulation;
2.
Rigorous model-based design verification flow for in-vehicle software
机译:
车载软件基于模型的严格设计和验证流程
作者:
Ramesh S.
;
Gadkari A.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Formal models;
design;
formal specification;
formal verification;
in-vehicle software;
test generation;
3.
Plugging versus logging: A new approach to write buffer management for solid-state disks
机译:
插入与日志记录:一种用于固态磁盘写缓冲区管理的新方法
作者:
Chang Li-Pin
;
Su You-Chiuan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Solid-state disks;
flash memory;
write buffer;
4.
A version-based strategy for reliability enhancement of flash file systems
机译:
基于版本的策略,用于增强闪存文件系统的可靠性
作者:
Hsu Pei-Han
;
Chang Yuan-Hao
;
Huang Po-Chun
;
Kuo Tei-Wei
;
Du David Hung-Chang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Flash memory;
MLC;
YAFFS;
file system;
forward copying;
recovery;
reliability;
version;
5.
A highly scalable parallel boundary element method for capacitance extraction
机译:
一种高度可扩展的并行边界元方法,用于电容提取
作者:
Hsiao Yu-Chung
;
Daniel Luca
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Boundary element method;
Capacitance extraction;
Field solver;
Parallel computing;
6.
Transaction based pre-to-post silicon validation
机译:
基于交易的前后硅验证
作者:
Singerman Eli
;
Abarbanel Yael
;
Baartmans Sean
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
DFx;
Silicon Coverage;
Silicon Debug;
Test Suite Optimization;
Transaction;
7.
Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor
机译:
利用硅前验证资源进行IBM POWER7处理器的硅后验证
作者:
Adir Allon
;
Nahir Amir
;
Shurek Gil
;
Ziv Avi
;
Meissner Charles
;
Schumann John
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Coverage;
Functional Verification;
Post-Silicon Validation;
Stimuli Generation;
8.
Energy-efficient MIMO detection using unequal error protection for embedded joint decoding system
机译:
嵌入式联合解码系统中使用不等错误保护的节能MIMO检测
作者:
Yang Yoon Seok
;
Bhagawat Pankaj
;
Choi Gwan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Unequal error protection;
low power design;
wireless system;
9.
Virtualizing embedded systems - why bother?
机译:
虚拟化嵌入式系统-为什么要打扰?
作者:
Heiser Gernot
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Virtual machines;
hypervisors;
processor consolidation;
safety;
security;
virtualization;
10.
Virtualizing real-time embedded systems with Java
机译:
使用Java虚拟化实时嵌入式系统
作者:
Vitek Jan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Real-time Java;
object-oriented languages;
virtual machines;
11.
A Fault-Tolerant NoC Scheme using bidirectional channel
机译:
使用双向通道的容错NoC方案
作者:
Tsai Wen-Chung
;
Zheng Deng-Yuan
;
Chen Sao-Jie
;
Hu Yu-Hen
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Bidirectional Channel;
Fault-Tolerance;
NoC;
12.
Process variation-aware routing in NoC based multicores
机译:
基于NoC的多核中的过程变化感知路由
作者:
Sharifi Akbar
;
Kandemir Mahmut
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
NoC;
Process Variation;
Routing;
13.
FlexiBuffer: Reducing leakage power in on-chip network routers
机译:
FlexiBuffer:减少片上网络路由器中的泄漏功率
作者:
Kim Gwangsun
;
Kim John
;
Yoo Sungjoo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Buffer Organization;
Leakage power;
On-chip networks;
Power gating;
Routers;
14.
Capacity optimized NoC for multi-mode SoC
机译:
针对多模式SoC进行容量优化的NoC
作者:
Walter Isaskhar
;
Kantor Erez
;
Cidon Israel
;
Kutten Shay
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Network on-Chip;
Routing;
System on-Chip;
Use-Cases;
15.
A reuse-aware prefetching scheme for scratchpad memory
机译:
暂存器内存的重用感知预取方案
作者:
Cong Jason
;
Huang Hui
;
Liu Chunyue
;
Zou Yi
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
prefetch;
reuse;
scratchpad memory;
16.
Wear rate leveling: Lifetime enhancement of PRAM with endurance variation
机译:
磨损率均衡:具有持久性变化的PRAM使用寿命延长
作者:
Dong Jianbo
;
Zhang Lei
;
Han Yinhe
;
Wang Ying
;
Li Xiaowei
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
PRAM endurance;
wear leveling;
wear rate leveling;
17.
Matching cache access behavior and bit error pattern for high performance low Vcc L1 cache
机译:
匹配高速缓存访问行为和误码模式,以实现高性能低Vcc L1高速缓存
作者:
Choi Young Geun
;
Yoo Sungjoo
;
Lee Sunggu
;
Ahn Jung Ho
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Cache;
Vccmin;
access pattern;
bit error;
18.
Post sign-off leakage power optimization
机译:
签核后泄漏功率优化
作者:
Abrishami Hamed
;
Lou Jinan
;
Qin Jeff
;
Froessl Juergen
;
Pedram Massoud
会议名称:
《》
|
2011年
关键词:
Conjugate gradient;
Leakage power;
Optimization;
Path-based analysis;
Sign-off;
Slack;
19.
New sub-20nm transistors — Why and how
机译:
新型20nm以下的晶体管-为什么和如何
作者:
Hu Chenming
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
ETSOI;
FDSOI;
FinFET;
Low-power;
MOSFET;
Scaling;
Transistor;
Tri-gate;
UTB-SOI;
non-planar;
20.
Circuit design challenges at the 14nm technology node
机译:
14nm技术节点的电路设计挑战
作者:
Warnock James
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
14nm silicon technology;
CMOS scaling;
VLSI Circuit design;
digital circuit design trends;
fully depleted SOI;
future CMOS design trends;
future microprocessor designs;
interconnect reliability;
metal interconnect scaling;
21.
Efficient WCRT analysis of synchronous programs using reachability
机译:
使用可达性对同步程序进行有效的WCRT分析
作者:
Kuo Matthew
;
Sinha Roopak
;
Roop Partha
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Reachability;
Synchronous;
Worst Case Analysis;
22.
Abstraction-based performance verification of NoCs
机译:
NoC的基于抽象的性能验证
作者:
Holcomb Daniel
;
Brady Bryan
;
Seshia Sanjit
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
23.
Global convergence analysis of mixed-signal systems
机译:
混合信号系统的全局收敛性分析
作者:
Youn Sangho
;
Kim Jaeha
;
Horowitz Mark
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Analog/Mixed-Signal Systems;
Formal Verification;
Global Convergence Analysis;
24.
Formal hardware/software co-verification by interval property checking with abstraction
机译:
通过间隔属性检查和抽象来进行正式的硬件/软件协同验证
作者:
Nguyen Minh D.
;
Wedler Markus
;
Stoffel Dominik
;
Kunz Wolfgang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Abstraction;
Embedded System;
Formal Verification;
Hardware/Software;
25.
Distributed resonant clock grid synthesis (ROCKS)
机译:
分布式谐振时钟网格合成(ROCKS)
作者:
Hu Xuchu
;
Guthaus Matthew
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Resonant;
clock grid;
low power;
26.
Characterizing within-die and die-to-die delay variations introduced by process variations and SOI history effect
机译:
表征由工艺变化和SOI历史效应引入的晶粒内和晶粒间延迟变化
作者:
Aarestad Jim
;
Lamech Charles
;
Plusquellic Jim
;
Acharyya Dhruva
;
Agarwal Kanak
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design for Manufacturability;
Embedded Test Structure;
27.
Application and realization of gateways between conventional automotive and IP/Ethernet-based networks
机译:
传统汽车与基于IP /以太网的网络之间网关的应用和实现
作者:
Zinner Helge
;
Noebauer Josef
;
Gallner Thomas
;
Seitz Jochen
;
Waas Thomas
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Ethernet AVB;
FlexRay;
MOST;
automotive;
gateway;
migration;
28.
MNFTL: An efficient flash translation layer for MLC NAND flash memory storage systems
机译:
MNFTL:用于MLC NAND闪存存储系统的高效闪存转换层
作者:
Qin Zhiwei
;
Wang Yi
;
Liu Duo
;
Shao Zili
;
Guan Yong
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Address mapping;
Flash translation layer;
Garbage collection;
MLC NAND flash memory;
29.
Understanding the impact of power loss on flash memory
机译:
了解断电对闪存的影响
作者:
Tseng Hung-Wei
;
Grupp Laura
;
Swanson Steven
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
flash memory;
power failure;
power loss;
30.
Dynamic voltage scaling of OLED displays
机译:
OLED显示器的动态电压缩放
作者:
Shin Donghwa
;
Kim Younghyun
;
Chang Naehyuck
;
Pedram Massoud
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
DVS;
Image Processing;
Low-Power Design;
OLED;
31.
Power management of hybrid DRAM/PRAM-based main memory
机译:
基于混合DRAM / PRAM的主存储器的电源管理
作者:
Park Hyunsun
;
Yoo Sungjoo
;
Lee Sunggu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
DRAM;
phase-change RAM;
power;
refresh;
32.
Self-aligned double patterning decomposition for overlay minimization and hot spot detection
机译:
自对准双图案分解,用于最小化重叠和热点检测
作者:
Zhang Hongbo
;
Du Yuelin
;
Wong Martin D.F.
;
Topaloglu Rasit
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
2D decomposition;
ILP;
SADP;
hot-spot detection;
overlay minimization;
33.
Physical synthesis onto a layout fabric with regular diffusion and polysilicon geometries
机译:
物理合成到具有规则扩散和多晶硅几何形状的布局织物上
作者:
Ryzhenko Nikolai
;
Burns Steven
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Cell Routing;
Litho Friendly Layout;
Regular Layout Fabric;
34.
Improved post-silicon power modeling using AC lock-in techniques
机译:
使用交流锁定技术改进了硅后功率建模
作者:
Nowroz Abdullah
;
Woods Gary
;
Reda Sherief
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Power characterization;
thermal infrared emissions;
35.
Modeling adaptive streaming applications with Parameterized Polyhedral Process Networks
机译:
使用参数化多面体过程网络为自适应流应用程序建模
作者:
Zhai Jiali Teddy
;
Nikolov Hristo
;
Stefanov Todor
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Model of computation;
adaptive embedded systems;
verification;
36.
Compilation of stream programs onto scratchpad memory based embedded multicore processors through retiming
机译:
通过重定时将流程序编译到基于暂存器的嵌入式多核处理器上
作者:
Che Weijia
;
Chatha Karam
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Compiler;
Embedded;
Multicore;
Processors;
Retiming;
Scratchpad Memory;
Stream;
37.
SEAL: Soft error aware low power scheduling by Monte Carlo state space under the influence of stochastic spatial and temporal dependencies
机译:
SEAL:在随机时空依赖的影响下,通过蒙特卡洛状态空间进行软错误感知的低功耗调度
作者:
Iqbal Nabeel
;
Siddique Muhammad Adnan
;
Henkel Jorg
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Monte Carlo;
Multicore;
Soft error;
Software pipeline;
Task-execution time;
low-power scheduling;
38.
Optimal multi-domain clock skew scheduling
机译:
最佳多域时钟偏斜调度
作者:
Li Li
;
Lu Yinghai
;
Zhou Hai
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Clock Skew;
Multi-Domain;
Optimization;
39.
A distributed algorithm for layout geometry operations
机译:
布局几何操作的分布式算法
作者:
Hsu Kai-Ti
;
Sinha Subarna
;
Pi Yu-Chuan
;
Chiang Charles
;
Ho Tsung-Yi
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design Rule Checking;
Parallel Processing;
40.
Efficient SRAM failure rate prediction via Gibbs sampling
机译:
通过Gibbs采样进行有效的SRAM故障率预测
作者:
Dong Changdao
;
Li Xin
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Integrated Circuit;
Memory;
Process Variation;
41.
3D heterogeneous system integration: Application driver for 3D technology development
机译:
3D异构系统集成:3D技术开发的应用程序驱动程序
作者:
Beyne Eric
;
Marchal Pol
;
Van Der Plas Geert
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
42.
3D integration for energy efficient system design
机译:
3D集成可实现节能系统设计
作者:
Borkar Shekhar
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D;
Automation;
Performance;
Power;
43.
Test-case generation for embedded simulink via formal concept analysis
机译:
通过形式化概念分析为嵌入式Simulink生成测试用例
作者:
He Nannan
;
Rummer Philipp
;
Kroening Daniel
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Mutation testing;
Simulink;
embedded systems;
formal concept analysis;
44.
Integrated circuit security techniques using variable supply voltage
机译:
使用可变电源电压的集成电路安全技术
作者:
Wei Sheng
;
Potkonjak Miodrag
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Gate-level characterization;
integrated circuit security;
process variation;
supply voltage control;
45.
Programming challenges solutions for multi-processor SoCs: An industrial perspective
机译:
多处理器SoC的编程挑战和解决方案:工业角度
作者:
Paulin Pierre
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Programming models;
components;
multi-core platform mapping;
46.
Thermal-aware system analysis and software synthesis for embedded multi-processors
机译:
嵌入式多处理器的热感知系统分析和软件综合
作者:
Thiele Lothar
;
Schor Lars
;
Yang Hoeseok
;
Bacivarov Iuliana
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design Space Exploration;
Model Calibration;
Multi-Processor Systems-on-Chip;
Thermal-Aware Analysis;
47.
Physics-based field-theoretic design automation tools for social networks and web search
机译:
用于社交网络和Web搜索的基于物理的场论设计自动化工具
作者:
Jandhyala Vikram
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Green's functions;
HITS;
Helmholtz;
PageRank;
Wave equations;
communities;
design automation;
field theory;
scalable tools;
48.
Dynamic binary translation to a reconfigurable target for on-the-fly acceleration
机译:
动态二进制转换为可重新配置的目标,以实现动态加速
作者:
Kinsman Phillip
;
Nicolici Nicola
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Dynamic Binary Translation;
On-the-fly Acceleration;
49.
MUSTARD: A coupled, stochastic/deterministic, discrete/continuous technique for predicting the impact of Random Telegraph Noise on SRAMs and DRAMs
机译:
芥末:耦合,随机/确定性,离散/连续技术,用于预测随机电报噪声对SRAM和DRAM的影响
作者:
Aadithya Karthik
;
Venogopalan Sriramkumar
;
Demir Alper
;
Roychowdhury Jaijeet
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Circuit Simulation;
Random Telegraph Noise;
SRAM/DRAM design;
50.
Performance bound analysis of analog circuits considering process variations
机译:
考虑工艺变化的模拟电路的性能极限分析
作者:
Hao Zhigang
;
Tan Sheldon X.-D.
;
Shen Ruijing
;
Shi Guoyong
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
interval;
performance bound;
process variation;
symbolic;
51.
High-performance energy-efficient encryption in the sub-45nm CMOS era
机译:
低于45nm CMOS时代的高性能节能加密
作者:
Krishnamurthy Ram
;
Mathew Sanu
;
Sheikh Farhana
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Advanced Encryption Standard (AES);
Encryption accelerators;
Ultra-low voltage circuit design;
52.
The state-of-the-art in semiconductor reverse engineering
机译:
半导体逆向工程的最新技术
作者:
Torrance Randy
;
James Dick
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Circuit extraction;
Hacking;
IC Analysis;
Patents Security;
Reverse Engineering;
Tamper Resistance;
53.
Simulation environment configuration for parallel simulation of multicore embedded systems
机译:
多核嵌入式系统并行仿真的仿真环境配置
作者:
Yun Dukyoung
;
Kim Jinwoo
;
Kim Sungchan
;
Ha Soonhoi
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Multicore Embedded Systems;
Parallel Simulation;
Simulation Configuration;
54.
Performance optimization of error detection based on speculative reconfiguration
机译:
基于推测性重构的错误检测性能优化
作者:
Lifa Adrian Alin
;
Eles Petru
;
Peng Zebo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Error detection implementation;
FPGA;
fault tolerance;
reconfigurable systems;
system-level optimization;
55.
Customer-aware task allocation and scheduling for multi-mode MPSoCs
机译:
多模式MPSoC的客户感知任务分配和调度
作者:
Huang Lin
;
Ye Rong
;
Xu Qiang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Lifetime reliability;
Online adjustment;
Task allocation and scheduling;
56.
Symbolic system synthesis in the presence of stringent real-time constraints
机译:
存在严格实时约束的符号系统综合
作者:
Reimann Felix
;
Lukasiewycz Martin
;
Glass Michael
;
Haubelt Christian
;
Teich Jurgen
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Algorithms;
Design;
57.
Power-aware variable partitioning for DSPs with hybrid PRAM and DRAM main memory
机译:
具有混合PRAM和DRAM主存储器的DSP的功耗感知变量分区
作者:
Liu Tiantian
;
Zhao Yingchao
;
Xue Chun Jason
;
Li Minming
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Phase Change Random Access Memory;
Power Efficiency;
Variable Partitioning;
58.
Diagnosing scan clock delay faults through statistical timing pruning
机译:
通过统计定时修剪诊断扫描时钟延迟故障
作者:
Chen Mingjing
;
Orailoglu Alex
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
clock tree;
diagnosis;
timing faults;
59.
A 40 nm inverse-narrow-width-effect-aware sub-threshold standard cell library
机译:
40 nm逆窄宽度效应感知亚阈值标准细胞库
作者:
Zhou Jun
;
Jayapal Senthil
;
Busze Ben
;
Huang Li
;
Stuyt Jan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Device Sizing;
Inverse Narrow Width Effect;
Sub-threshold;
60.
Lithography at 14nm and beyond: Choices and challenges
机译:
14nm及以上的光刻技术:选择与挑战
作者:
Singh Vivek
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Lithography;
61.
Cool shapers: Shaping real-time tasks for improved thermal guarantees
机译:
酷成型机:塑造实时任务以改善热保证
作者:
Kumar Pratyush
;
Thiele Lothar
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Real-Time Systems;
Shapers;
Thermal Management;
62.
ChronOS Linux: A best-effort real-time multiprocessor Linux kernel
机译:
ChronOS Linux:尽力而为的实时多处理器Linux内核
作者:
Dellinger Matthew
;
Garyali Piyush
;
Ravindran Binoy
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Linux;
Real-time;
task scheduling;
63.
Fast and accurate source-level simulation of software timing considering complex code optimizations
机译:
考虑复杂代码优化的软件定时的快速,准确的源代码级仿真
作者:
Stattelmann Stefan
;
Bringmann Oliver
;
Rosenstiel Wolfgang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Software Timing Simulation;
Virtual Prototypes;
64.
Litmus tests for comparing memory consistency models: How long do they need to be?
机译:
Litmus测试以比较内存一致性模型:它们需要持续多长时间?
作者:
Mador-Haim Sela
;
Alur Rajeev
;
Martin Milo M. K.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Concurrency;
Litmus Tests;
Memory Consistency Models;
65.
WaveMin: A fine-grained clock buffer polarity assignment combined with buffer sizing
机译:
WaveMin:细粒度的时钟缓冲区极性分配与缓冲区大小组合
作者:
Joo Deokjin
;
Kim Taewhan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Polarity assignment;
buffer sizing;
power/ground noise;
66.
Common-centroid capacitor placement considering systematic and random mismatches in analog integrated circuits
机译:
考虑模拟集成电路中系统性和随机性不匹配的共质心电容器放置
作者:
Lin Cheng-Wu
;
Lin Jai-Ming
;
Chiu Yen-Chih
;
Huang Chun-Po
;
Chang Soon-Jyh
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Analog placement;
capacitor array;
67.
A stabilized discrete empirical interpolation method for model reduction of electrical, thermal, and microelectromechanical systems
机译:
用于电气,热和微机电系统模型简化的稳定离散经验插值方法
作者:
Hochman Amit
;
Bond Bradley N.
;
White Jacob K.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Discrete Empirical Interpolation Method;
Microelectromechanical Systems;
Model Reduction;
68.
Fast multipole method on GPU: Tackling 3-D capacitance extraction on massively parallel SIMD platforms
机译:
GPU上的快速多极方法:在大规模并行SIMD平台上处理3-D电容提取
作者:
Zhao Xueqian
;
Feng Zhuo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Capacitance extraction;
GPU;
parallel fast multipole method;
69.
A method to leverage pre-silicon collateral and analysis for post-silicon testing and validation
机译:
一种利用硅前抵押品和分析进行硅后测试和验证的方法
作者:
Miller Gary
;
Bhattarai Bandana
;
Hsu Yu-Chin
;
Dutt Jay
;
Chen Xi
;
Bakewell George
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Safety-critical;
fault detection;
mutation;
test planning;
70.
A low-energy computation platform for data-driven biomedical monitoring algorithms
机译:
用于数据驱动的生物医学监测算法的低能耗计算平台
作者:
Shoaib Mohammed
;
Jha Niraj
;
Verma Naveen
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
71.
Emulation based high-accuracy throughput estimation for high-speed connectivities: Case study of USB2.0
机译:
基于仿真的高速连接的高精度吞吐量估算:USB2.0的案例研究
作者:
Hong Byungchul
;
Shin Chulho
;
Ko Daehyup
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Estimation;
High-speed Connectivity;
Throughput;
USB2.0;
72.
Implicit Permutation Enumeration Networks and Binary Decision Diagrams Reordering
机译:
隐式排列枚举网络和二元决策图重新排序
作者:
Stergiou Stergios
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
BDDs;
permutation networks;
reordering;
73.
Power reduction via separate synthesis and physical libraries
机译:
通过单独的综合库和物理库降低功耗
作者:
Rahman Mohammad
;
Afonso Ryan
;
Tennakoon Hiran
;
Sechen Carl
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Physical Library;
Power-delay Optimization;
Synthesis Library;
74.
Fault-tolerant 3D clock network
机译:
容错3D时钟网络
作者:
Lung Chiao-Ling
;
Su Yu-Shih
;
Huang Shih-Hsiu
;
Shi Yiyu
;
Chang Shih-Chieh
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D IC;
Clock Network;
Clock Tree Synthesis;
Fault-tolerant;
Redundant Tree;
Through-Silicon Via;
75.
TSV-aware analytical placement for 3D IC designs
机译:
支持TSV的3D IC设计分析位置
作者:
Hsu Meng-Kai
;
Chang Yao-Wen
;
Balabanov Valeriy
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D ICs;
Physical Design;
Placement;
76.
Thermal-aware cell and through-silicon-via co-placement for 3D ICs
机译:
用于3D IC的热感知单元和硅通孔共置
作者:
Cong Jason
;
Luo Guojie
;
Shi Yiyu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D placement;
TSV distribution;
Thermal awareness;
77.
Power grid verification using node and branch dominance
机译:
使用节点和分支支配地位的电网验证
作者:
Ghani Nahi Abdul
;
Najm Farid N.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Power grid;
dominance;
voltage drop;
78.
Designing ad-hoc scrubbing sequences to improve memory reliability against soft errors
机译:
设计临时清理序列以提高针对软错误的内存可靠性
作者:
Reviriego Pedro
;
Maestro Juan Antonio
;
Baeg Sanghyeon
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Multiple Cell Upsets (MCUs);
memories;
radiation;
reliability;
scrubbing;
79.
CMOS compatible nanowires for biosensing
机译:
CMOS兼容的纳米线用于生物传感
作者:
Stern Eric
;
Routenberg David A.
;
Vacic Aleksandar
;
Rajan Nitin K.
;
Criscione Jason M.
;
Park Jason
;
Fahmy Tarek M.
;
Reed Mark
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
bioFETs;
biomarker detection;
biosensors;
nanowires;
80.
Device modeling and system simulation of nanophotonic on-chip networks for reliability, power and performance
机译:
纳米光子片上网络的设备建模和系统仿真,以提高可靠性,功耗和性能
作者:
Li Zheng
;
Mohamed Moustafa
;
Chen Xi
;
Mickelson Alan
;
Shang Li
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Networks-on-Chip;
Silicon photonics;
81.
Progressive network-flow based power-aware broadcast addressing for pin-constrained digital microfluidic biochips
机译:
基于渐进式网络流的功率受限广播寻址,用于受引脚约束的数字微流控生物芯片
作者:
Huang Tsung-Wei
;
Su Hong-Yan
;
Ho Tsung-Yi
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Digital microfluidics;
electrode addressing;
power;
82.
Reliability analysis and improvement for multi-level non-volatile memories with soft information
机译:
具有软信息的多层非易失性存储器的可靠性分析和改进
作者:
Chen Shih-Liang
;
Ke Bo-Ru
;
Chen Jian-Nan
;
Huang Chih-Tsun
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Error correction;
low-density parity-check (LDPC) codes;
multi-level cell (MLC);
non-volatile flash memory;
reliability;
83.
A low-cost wireless interface with no external antenna and crystal oscillator for Cm-range contactless testing
机译:
无需外部天线和晶体振荡器的低成本无线接口,用于厘米范围的非接触式测试
作者:
Li Chin-Fu
;
Lee Chi-Ying
;
Wang Chen-Hsing
;
Chang Shu-Lin
;
Denq Li-Ming
;
Chi Chun-Chuan
;
Hsu Hsuan-Jung
;
Chu Ming-Yi
;
Liou Jing-Jia
;
Huang Shi-Yu
;
Huang Po-Chiun
;
Ma Hsi-Pin
;
Bor Jenn-Chiou
;
Wu Cheng-Wen
;
Tien Ching-Cheng
;
Wang Chi-Hu
;
Kuo Yung-Sheng
;
Huang Chih-Tsun
;
Chang Tien-Yu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
84.
Flexible 2D layout decomposition framework for spacer-type double pattering lithography
机译:
间隔物型双图案光刻的灵活2D布局分解框架
作者:
Ban Yongchan
;
Lucas Kevin
;
Pan David
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
DFM;
Decomposition;
Double patterning;
Lithography;
SADP;
85.
Biochemical oscillator sensitivity analysis in the presence of conservation constraints
机译:
存在保护约束的生化振荡器灵敏度分析
作者:
Toettcher Jared
;
Castillo Anya
;
Tidor Bruce
;
White Jacob
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
biochemical kinetics;
periodic steady-state;
sensitivity analysis;
86.
In silico synchronization of cellular populations through expression data deconvolution
机译:
通过表达数据反卷积对细胞群体进行计算机同步
作者:
Eisenberg Marisa
;
Ash Joshua
;
Siegal-Gaskins Dan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
bioinformatics;
caulobacter;
cell cycle;
deconvolution;
time series;
87.
Enforcing architectural contracts in high-level synthesis
机译:
在高级综合中执行建筑合同
作者:
Patil Nikhil
;
Bansal Ankit
;
Chiou Derek
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Architecture;
E-unification;
microarchitecture;
synthesis;
88.
Facing the challenge of new design features: An effective verification approach
机译:
面对新设计功能的挑战:有效的验证方法
作者:
Kadry Wisam
;
Morad Ronny
;
Goryachev Alex
;
Almog Eli
;
Krygowski Christopher
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Functional verification;
Test generation;
Verification IP reuse;
89.
Threadmill: A post-silicon exerciser for multi-threaded processors
机译:
Threadmill:用于多线程处理器的后硅训练器
作者:
Adir Allon
;
Golubev Maxim
;
Landa Shimon
;
Nahir Amir
;
Shurek Gil
;
Sokhin Vitali
;
Ziv Avi
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Functional Verification;
Multi-Threading;
Post-Silicon Validation;
Stimuli Generation;
90.
Automated mapping for reconfigurable single-electron transistor arrays
机译:
可重配置的单电子晶体管阵列的自动映射
作者:
Chen Yung-Chih
;
Eachempati Soumya
;
Wang Chun-Yao
;
Datta Suman
;
Xie Yuan
;
Narayanan Vijaykrishnan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Automatic synthesis;
binary decision diagram;
single-electron transistor;
91.
Universal logic modules based on double-gate carbon nanotube transistors
机译:
基于双栅极碳纳米管晶体管的通用逻辑模块
作者:
Zukoski Andrew
;
Yang Xuebei
;
Mohanram Kartik
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Carbon nanotubes;
double pass-transistor logic;
double-gate;
universal logic module;
92.
Process-level virtualization for runtime adaptation of embedded software
机译:
进程级虚拟化,用于嵌入式软件的运行时适应
作者:
Hazelwood Kim
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
dynamic binary optimization;
embedded systems;
runtime adaptation;
virtualization software;
93.
DRAIN: Distributed Recovery Architecture for Inaccessible Nodes in multi-core chips
机译:
DRAIN:多核芯片中不可访问节点的分布式恢复体系结构
作者:
DeOrio Andrew
;
Aisopos Kostantinos
;
Bertacco Valeria
;
Peh Li-Shiuan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Fault-Tolerance;
Network-on-Chip;
Recovery;
Resilient Systems;
94.
Enabling system-level modeling of variation-induced faults in Networks-on-Chips
机译:
在芯片网络上启用系统级的变化引起的故障建模
作者:
Aisopos Konstantinos
;
Chen Chia-Hsin Owen
;
Peh Li-Shiuan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Networks-on-Chips;
fault modeling;
variation;
95.
Dynamic cache reconfiguration and partitioning for energy optimization in real-time multi-core systems
机译:
动态高速缓存重新配置和分区,用于实时多核系统中的能源优化
作者:
Wang Weixun
;
Mishra Prabhat
;
Ranka Sanjay
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Multicore systems;
cache;
dynamic reconfiguration;
energy optimization;
real-time systems;
96.
A helper thread based dynamic cache partitioning scheme for multithreaded applications
机译:
用于多线程应用程序的基于帮助程序线程的动态缓存分区方案
作者:
Kandemir Mahmut
;
Yemliha Taylan
;
Kultursay Emre
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Cache;
helper thread;
multi-core;
partitioning;
97.
Buffer-Integrated-Cache: A cost-effective SRAM architecture for handheld and embedded platforms
机译:
缓冲区集成缓存:适用于手持式和嵌入式平台的经济高效的SRAM架构
作者:
Fajardo Carlos Flores
;
Fang Zhen
;
Iyer Ravi
;
Garcia German Fabila
;
Lee Seung Eun
;
Zhao Li
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Accelerator;
Cache;
Memory;
System-on-Chip;
98.
A closed-form expression for estimating minimum operating voltage (V
DDmin
) of CMOS logic gates
机译:
用于估计CMOS逻辑门的最小工作电压(V
DDmin inf>)的封闭形式
作者:
Fuketa Hiroshi
;
Iida Satoshi
;
Yasufuku Tadashi
;
Takamiya Makoto
;
Nomura Masahiro
;
Shinohara Hirofumi
;
Sakurai Takayasu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Minimum operating voltage;
subthreshold circuits;
variations;
99.
Decoupling for power gating: Sources of power noise and design strategies
机译:
电源门控的去耦:电源噪声的来源和设计策略
作者:
Xu Tong
;
Li Peng
;
Yan Boyuan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Power-gating;
on-chip decaps;
power efficiency;
power integrity;
100.
Error-resilient low-power DSP via path-delay shaping
机译:
通过路径延迟整形实现容错的低功耗DSP
作者:
Whatmough Paul
;
Das Shidhartha
;
Bull David
;
Darwazeh Izzat
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
DSP;
Error tolerance;
FIR;
Low-power;
Razor;
VLSI;
Voltage scaling;
上一页
1
2
下一页
意见反馈
回到顶部
回到首页