掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Low-power adaptive pipelined MPSoCs for multimedia: An H.264 video encoder case study
机译:
用于多媒体的低功耗自适应流水线MPSoC:H.264视频编码器案例研究
作者:
Javaid Haris
;
Shafique Muhammad
;
Parameswaran Sri
;
Henkel Jorg
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Adaptive MPSoCs;
Low-Power Design;
2.
2011 Author index
机译:
2011作者索引
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
3.
General chair's message
机译:
董事长致辞
作者:
Stok Leon
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
4.
Proceedings of the 48th design automation conference
®
机译:
第48届设计自动化会议论文集
® sup>
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
5.
Executive committee
机译:
执行委员会
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
6.
Up close and personal with Steve Wozniak
机译:
与Steve Wozniak亲密接触
作者:
Wozniak Steve
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
7.
Megatrends Driving Embedded Multicore Innovation
机译:
大趋势推动嵌入式多核创新
作者:
Su Lisa
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
8.
The imminent EDA transformation
机译:
即将到来的EDA转型
作者:
Singer Gadi
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
9.
Cognitive computing: Neuroscience, supercomputing, nanotechnology
机译:
认知计算:神经科学,超级计算,纳米技术
作者:
Modha Dharmendra S.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
10.
Awards
机译:
获奖情况
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
11.
Reviewers
机译:
审稿人
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
12.
Technical panel abstracts
机译:
技术小组摘要
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
13.
Deriving a near-optimal power management policy using model-free reinforcement learning and Bayesian classification
机译:
使用无模型强化学习和贝叶斯分类推导近乎最佳的电源管理策略
作者:
Wang Yanzhi
;
Xie Qing
;
Ammari Ahmed
;
Pedram Massoud
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Bayes Classification;
Dynamic Power Management;
Reinforcement Learning;
14.
PowerDepot: Integrating IP-based power modeling with ESL power analysis for multi-core SoC designs
机译:
PowerDepot:将基于IP的电源建模与ESL功耗分析相集成,以实现多核SoC设计
作者:
Hsu Chen-Wei
;
Liao Jia-Lu
;
Fang Shan-Chien
;
Weng Chia-Chien
;
Huang Shi-Yu
;
Hsieh Wen-Tsan
;
Yeh Jen-Chieh
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
IP-based power modeling;
System-level power analysis;
15.
To DFM or not to DFM?
机译:
要DFM还是不DFM?
作者:
Tam Wing Chiu
;
Blanton Shawn
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design for Manufacturability;
Diagnosis;
Recommended Design Rules;
Yield Modeling;
16.
Statistical characterization of standard cells using design of experiments with response surface modeling
机译:
使用响应面建模实验设计对标准单元格进行统计表征
作者:
Miranda Miguel
;
Roussel Philippe
;
Brusamarello Lucas
;
Wirth Gilson
会议名称:
《》
|
2011年
关键词:
DoE;
Response Modeling;
Statistical Standard Cell Analysis;
17.
Dimetrodon: Processor-level preventive thermal management via idle cycle injection
机译:
Dimetrodon:通过空循环注入进行处理器级的预防性热管理
作者:
Bailis Peter
;
Reddi Vijay Janapa
;
Gandhi Sanjay
;
Brooks David
;
Seltzer Margo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Average-case design;
Idle injection;
Thermal management;
18.
Dynamic thermal management for multimedia applications using machine learning
机译:
使用机器学习对多媒体应用程序进行动态热管理
作者:
Ge Yang
;
Qiu Qinru
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Dynamic thermal management;
multimedia application;
reinforcement learning;
19.
Thermal signature: A simple yet accurate thermal index for floorplan optimization
机译:
热特征:简单而准确的热指数,用于平面布置优化
作者:
Kung Jaeha
;
Han Inhak
;
Sapatnekar Sachin
;
Shin Youngsoo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Thermal analysis;
thermal-aware floorplanning;
20.
Joint DAC/IWBDA special session design and synthesis of biological circuits
机译:
DAC / IWBDA联合特别会议设计和生物电路综合
作者:
Densmore Douglas
;
Horowitz Mark
;
Krishnaswamy Smita
;
Shen Xiling
;
Arkin Adam
;
Winfree Erik
;
Voigt Chris
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
bio-design automation;
biological parts;
chemical reaction networks;
genetic compiler;
molecular computation;
21.
CuMAPz: A tool to analyze memory access patterns in CUDA
机译:
CuMAPz:分析CUDA中的内存访问模式的工具
作者:
Kim Yooseong
;
Shrivastava Aviral
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Analytical Model;
CUDA;
GPGPU;
Memory access pattern;
Performance Estimation;
22.
Simultaneous functional and timing ECO
机译:
同时功能和定时ECO
作者:
Chang Hua-Yu
;
Jiang Iris Hui-Ru
;
Chang Yao-Wen
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Engineering change order;
spare cells;
technology remapping;
23.
Interpolation-based incremental ECO synthesis for multi-error logic rectification
机译:
基于插值的增量ECO综合,用于多错误逻辑校正
作者:
Tang Kai-Fu
;
Wu Chi-An
;
Huang Po-Kai
;
Huang Chung-Yang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Logic rectification;
engineering change order;
interpolation;
satisfiability;
24.
Re-synthesis for cost-efficient circuit-level timing speculation
机译:
重新合成以实现经济高效的电路级时序推测
作者:
Liu Yuxi
;
Yuan Feng
;
Xu Qiang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Timing error;
cost-efficient;
timing speculation;
25.
An exact algorithm for the construction of rectilinear steiner minimum trees among complex obstacles
机译:
复杂障碍物之间直线斯坦纳最小树构造的精确算法
作者:
Huang Tao
;
Young Evangeline F. Y.
会议名称:
《》
|
2011年
关键词:
Full Steiner Tree;
Obstacle-avoiding;
Pruning;
Rectilinear Steiner Minimum Tree;
26.
Gridless pin access in detailed routing
机译:
详细布线中的无网格引脚访问
作者:
Nieberg Tim
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Gridless Routing;
Physical Design;
VLSI Routing;
27.
An optimal algorithm for layer assignment of bus escape routing on PCBs
机译:
PCB上总线逃逸路由的层分配的最佳算法
作者:
Ma Qiang
;
Young Evangeline F. Y.
;
Wong Martin D. F.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Branch-and-bound;
Escape routing;
Optimal layer assignment;
28.
TSV stress-aware full-chip mechanical reliability analysis and optimization for 3D IC
机译:
TSV针对3D IC的应力感知全芯片机械可靠性分析和优化
作者:
Jung Moongon
;
Mitra Joydeep
;
Pan David Z.
;
Lim Sung Kyu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D IC;
TSV;
mechanical reliability;
stress;
29.
Hybrid modeling of non-stationary process variations
机译:
非平稳过程变化的混合建模
作者:
Dyer Eva
;
Majzoobi Mehrdad
;
Koushanfar Farinaz
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Non-stationary Variation;
Process Variation Modeling;
Spatial Correlation;
30.
Direct matrix solution of linear complexity for surface integral-equation based impedance extraction of high bandwidth interconnects
机译:
线性复杂度的直接矩阵解,用于基于表面积分方程的高带宽互连的阻抗提取
作者:
Chai Wenwen
;
Jiao Dan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Impedance extraction;
direct solvers;
fast integral equation solvers;
interconnect;
31.
Design, CAD and technology challenges for future processors: 3D perspectives
机译:
未来处理器的设计,CAD和技术挑战:3D视角
作者:
Burns Jeff
;
Carpenter Gary
;
Kursun Eren
;
Puri Ruchir
;
Warnock James
;
Scheuermann Michael
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D Integration;
3DI;
Microprocessors;
32.
Applications driving 3D integration and 1 corresponding manufacturing challenges
机译:
推动3D集成的应用和1个相应的制造挑战
作者:
Topaloglu Rasit
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D;
MEMS;
RF;
applications;
architecture;
bonding;
challenges EDA;
cost;
integration;
manufacturing;
optical;
reliability;
technology;
testing;
thermal;
33.
A first step towards automatic application of power analysis countermeasures
机译:
自动化应用功率分析对策的第一步
作者:
Bayrak Ali Galip
;
Regazzoni Francesco
;
Brisk Philip
;
Standaert Francois-Xavier
;
Ienne Paolo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
AVR;
Automation;
Power Analysis Attacks;
Software Countermeasure;
34.
TPM-SIM: A framework for performance evaluation of Trusted Platform Modules
机译:
TPM-SIM:可信平台模块性能评估的框架
作者:
Schmitz Jared
;
Loew Jason
;
Elwell Jesse
;
Ponomarev Dmitry
;
Abu-Ghazaleh Nael
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Performance Evaluation;
Trusted Platform Module;
35.
Differential public physically unclonable functions: Architecture and applications
机译:
差异性的公共物理不可克隆功能:体系结构和应用
作者:
Potkonjak Miodrag
;
Meguerdichian Saro
;
Nahapetian Ani
;
Wei Sheng
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Authentication;
PPUF;
PUF;
hardware security;
smart cards;
36.
Information flow isolation in I2C and USB
机译:
I2C和USB中的信息流隔离
作者:
Oberg Jason
;
Hu Wei
;
Irturk Ali
;
Tiwari Mohit
;
Sherwood Timothy
;
Kastner Ryan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
High-assurance Systems;
Information Flow Tracking;
Timing Channels;
37.
CIRUS: A scalable modular architecture for reusable drivers
机译:
CIRUS:可重用驱动程序的可扩展模块化体系结构
作者:
Saha Bratin
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
System-on-a-chip;
architecture;
drivers;
modular;
reusable;
38.
Temporal isolation on multiprocessing architectures
机译:
多处理架构上的时间隔离
作者:
Bui Dai
;
Lee Edward
;
Liu Isaac
;
Patel Hiren
;
Reineke Jan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
PRET machines;
Precision-timed architectures;
instruction set architecture;
memory hierarchy;
microarchitecture;
network on chip;
pipelines;
39.
Can we go towards true 3-D architectures?
机译:
我们可以走向真正的3D架构吗?
作者:
Gaillardon Pierre-Emmanuel
;
Ben-Jamaa Haykel
;
Morel Paul-Henry
;
Noel Jean-Philippe
;
Clermidy Fabien
;
OConnor Ian
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Back-End;
Logic gates;
Nanowires;
40.
Orchestrated multi-level information flow analysis to understand SoCs
机译:
编排多级信息流分析以了解SoC
作者:
Fey Gorschwin
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design;
Productivity;
41.
Device aging-based physically unclonable functions
机译:
基于设备老化的物理不可克隆功能
作者:
Meguerdichian Saro
;
Potkonjak Miodrag
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Device aging;
PPUF;
PUF;
hardware security;
self-trust;
42.
Significance driven computation on next-generation unreliable platforms
机译:
下一代不可靠平台上的重要性驱动计算
作者:
Karakonstantis Georgios
;
Bellas Nikolaos
;
Antonopoulos Christos
;
Tziantzioulis Georgios
;
Gupta Vaibhav
;
Roy Kaushik
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Approximate Computing;
Energy Efficient;
Software;
43.
Fast Non-Monte-Carlo transient noise analysis for high-precision analog/RF circuits by stochastic orthogonal polynomials
机译:
基于随机正交多项式的高精度模拟/ RF电路快速非蒙特卡洛瞬态噪声分析
作者:
Gong Fang
;
Yu Hao
;
He Lei
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Circuit simulation;
Noise analysis;
44.
Automatic stability checking for large linear analog integrated circuits
机译:
大型线性模拟集成电路的自动稳定性检查
作者:
Mukherjee Parijat
;
Fang G. Peter
;
Burt Rod
;
Li Peng
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Analog circuit design;
Eigenvalue problem;
Model order reduction;
Small-signal analysis;
Stability analysis;
45.
Rethinking memory redundancy: Optimal bit cell repair for maximum-information storage
机译:
重新考虑内存冗余:最佳位单元修复,以获取最大信息
作者:
Li Xin
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Integrated Circuit;
Memory;
Process Variation;
46.
Programmable analog device array (PANDA): A platform for transistor-level analog reconfigurability
机译:
可编程模拟设备阵列(PANDA):晶体管级模拟可重配置平台
作者:
Zheng Rui
;
Suh Jounghyuk
;
Xu Cheng
;
Hakim Nagib
;
Bakkaloglu Bertan
;
Cao Yu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Reconfigurable analog design;
Scaling;
Transistor;
47.
Complexity and the challenges of securing SoCs
机译:
复杂性和保护SoC的挑战
作者:
Kocher Paul
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Security;
antitamper;
differential power analysis;
hardware security;
side channel attack;
tamper evidence;
tamper resistance;
48.
A high-parallelism distributed scheduling mechanism for multi-core instruction-set simulation
机译:
用于多核指令集仿真的高并行度分布式调度机制
作者:
Wu Meng-Huan
;
Wang Peng-Chih
;
Fu Cheng-Yang
;
Tsay Ren-Song
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Instruction-set simulator;
Multi-core simulation;
Parallel simulation;
Timing synchronization;
49.
Transaction level statistical analysis for efficient micro-architectural power and performance studies
机译:
事务级别的统计分析,用于有效的微体系结构能力和性能研究
作者:
Copty Eman
;
Kamhi Gila
;
Novakovsky Sasha
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Micro-architectural Simulation;
Performance Verification;
Statistical analysis and modeling;
50.
Extracting behavior and dynamically generated hierarchy from SystemC models
机译:
从SystemC模型中提取行为并动态生成层次结构
作者:
Broeders Harry
;
van Leuken Rene
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
AST;
Automation;
GCC;
GDB;
SystemC;
analyze;
dynamic module hierarchy;
elaboration;
front-end;
system specification;
51.
Throughput maximization for periodic real-time systems under the maximal temperature constraint
机译:
在最大温度约束下周期性实时系统的吞吐量最大化
作者:
Huang Huang
;
Quan Gang
;
Fan Jeffrey
;
Qiu Meikang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Dynamic voltage/frequency scaling;
Task sequencing;
Thermal aware real-time scheduling;
52.
On the quantification of sustainability and extensibility of FlexRay schedules
机译:
关于FlexRay计划的可持续性和可扩展性的量化
作者:
Schneider Reinhard
;
Goswami Dip
;
Chakraborty Samarjit
;
Bordoloi Unmesh
;
Eles Petru
;
Peng Zebo
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Automotive;
FlexRay;
Real-time systems;
Scheduling;
53.
Generalized reliability-oriented energy management for real-time embedded applications
机译:
面向实时嵌入式应用的通用可靠性导向型能源管理
作者:
Zhao Baoxian
;
Aydin Hakan
;
Zhu Dakai
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
DVFS;
Energy Management;
Real-Time Embedded Systems;
54.
Supervised design space exploration by compositional approximation of Pareto sets
机译:
通过帕累托集的组成近似进行有监督的设计空间探索
作者:
Liu Hung-Yi
;
Diakonikolas Ilias
;
Petracca Michele
;
Carloni Luca
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design Reuse;
System-Level Design;
System-on-Chip;
55.
TAB-BackSpace: Unlimited-length trace buffers with zero additional on-chip overhead
机译:
TAB-BackSpace:无限长度的跟踪缓冲区,零额外的片上开销
作者:
de Paula Flavio M.
;
Nahir Amir
;
Nevo Ziv
;
Orni Avigail
;
Hu Alan J.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Post-silicon debug;
design for debug;
validation;
56.
Testability driven statistical path selection
机译:
可测性驱动的统计路径选择
作者:
Chung Jaeyong
;
Xiong Jinjun
;
Zolotov Vladimir
;
Abraham Jacob
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
At-Speed Test;
Satisfiability;
Statistical Timing;
Testability;
57.
Diagnosis of transition fault clusters
机译:
过渡故障群诊断
作者:
Pomeranz Irith
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Fault diagnosis;
full-scan circuits;
transition faults;
58.
Leakage-aware redundancy for reliable sub-threshold memories
机译:
泄漏感知冗余,可提供可靠的亚阈值存储器
作者:
Kim Seokjoong
;
Guthaus Matthew
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Sub-threshold SRAM;
leakage;
redundancy;
yield enhancement;
59.
Layout aware line-edge roughness modeling and poly optimization for leakage minimization
机译:
具有布局意识的线边缘粗糙度建模和多边形优化,可最大程度地减少泄漏
作者:
Ban Yongchan
;
Yang Jae-Seok
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Leakage;
Line-edge roughness;
Lithography;
Optimization;
60.
A novel framework for passive macro-modeling
机译:
一种用于被动宏建模的新颖框架
作者:
Ye Zuochang
;
Li Yang
;
Gao Mingzhi
;
Yu Zhiping
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Macro modeling;
optimization;
passivity;
vector fitting;
61.
An algorithm-architecture co-design framework for gridding reconstruction using FPGAs
机译:
使用FPGA进行网格重建的算法-架构协同设计框架
作者:
Kestur Srinidhi
;
Irick Kevin
;
Park Sungho
;
Al Maashri Ahmed
;
Narayanan Vijaykrishnan
;
Chakrabarti Chaitaili
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
BEE3;
Cartesian;
Gridding;
Nonuniform fast Fourier transform;
Polar;
Taylor polynomial evaluation;
62.
Accuracy of Ethernet AVB time synchronization under varying temperature conditions for automotive networks
机译:
汽车网络在不同温度条件下以太网AVB时间同步的准确性
作者:
Kern Andreas
;
Zinner Helge
;
Streichert Thilo
;
Nobauer Josef
;
Teich Jurgen
会议名称:
《》
|
2011年
关键词:
AVB;
Ethernet;
automotive;
jitter;
synchronization;
temperature conditions;
63.
Dynamic effort scaling: Managing the quality-efficiency tradeoff
机译:
动态工作量缩放:管理质量效率权衡
作者:
Chippa Vinay
;
Raghunathan Anand
;
Roy Kaushik
;
Chakradhar Srimat
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Approximate Computing;
Dynamic Effort Scaling;
Low Power Design;
Mining;
Recognition;
Scalable Effort;
Support Vector Machines;
64.
Using SAT-based craig interpolation to enlarge clock gating functions
机译:
使用基于SAT的Craig插值来扩大时钟选通功能
作者:
Lin Ting-Hao
;
Huang Chung-Yang
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Clock gating;
Interpolation;
65.
Are logic synthesis tools robust?
机译:
逻辑综合工具是否健壮?
作者:
Puggelli Alberto
;
Welp Tobias
;
Kuehlmann Andreas
;
Sangiovanni-Vincentelli Alberto
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Logic Synthesis;
Robustness;
Verilog;
66.
Layout effects in fine grain 3D integrated regular microprocessor blocks
机译:
细颗粒3D集成常规微处理器模块中的布局效果
作者:
Nandakumar Vivek S
;
Marek-Sadowska Malgorzata
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D ICs;
3D layouts;
SRAM register partitioning;
TSV limitations;
67.
An integrated algorithm for 3D-IC TSV assignment
机译:
用于3D-IC TSV分配的集成算法
作者:
Liu Xiaodong
;
Zhang Yifan
;
Yeap Gary
;
Zeng Xuan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D-IC;
NP-complete;
Physical Design;
TSV Assignment;
68.
Non-uniform micro-channel design for stacked 3D-ICs
机译:
堆叠3D-IC的非均匀微通道设计
作者:
Shi Bing
;
Srivastava Ankur
;
Wang Peng
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D-IC;
liquid cooling;
micro-channel;
power;
69.
Efficient incremental analysis of on-chip power grid via sparse approximation
机译:
通过稀疏近似对片上电网进行有效的增量分析
作者:
Sun Pei
;
Li Xin
;
Ting Ming-Yuan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Incremental Analysis;
Integrated Circuit;
Power Grid;
70.
Power grid correction using sensitivity analysis under an RC model
机译:
RC模型下使用灵敏度分析的电网校正
作者:
Al Haddad Pamela
;
Najm Farid N.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Power Grid;
sensitivity;
voltage drop;
71.
Design sensitivity of Single Event Transients in scaled logic circuits
机译:
比例逻辑电路中单事件瞬态的设计灵敏度
作者:
Velamala Jyothi
;
LiVolsi Robert
;
Torres Myra
;
Cao Yu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Critical Voltage;
Double Exponential Current Pulse;
Failure Probability;
Single Event Transients;
72.
In-field aging measurement and calibration for power-performance optimization
机译:
现场老化测量和校准,以优化功率性能
作者:
Wang Shuo
;
Tehranipoor Mohammad
;
Winemberg LeRoy
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Aging;
On-chip measurement;
Path delay measurement;
Performance calibration;
Power-performance optimization;
73.
Single-molecule electronic detection using nanoscale field-effect devices
机译:
使用纳米级场效应器件的单分子电子检测
作者:
Sorgenfrei Sebastian
;
Shepard Kenneth
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Single-molecule sensing;
carbon nanotube transistors;
74.
Heterogeneous integration of carbon nanotubes and graphene microassemblies for environmental and breath sensing
机译:
碳纳米管和石墨烯微组件的异构集成,用于环境和呼吸感应
作者:
Sonkusale Sameer
;
Dokmeci Mehmet
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
CNT;
Carbon Nanotube;
Chemical Sensing;
Dielectrophoresis;
Directed Assembly;
Environmental Sensing;
Graphene;
Nanosensors;
Sensors;
75.
An energy-efficient heterogeneous CMP based on hybrid TFET-CMOS cores
机译:
基于混合TFET-CMOS内核的高能效异构CMP
作者:
Saripalli Vinay
;
Mishra Asit
;
Datta Suman
;
Narayanan Vijaykrishnan
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Heterogeneous Multi-Core;
Tunnel FETs;
76.
Design of Robust Metabolic Pathways
机译:
健壮的代谢途径设计
作者:
Umeton Renato
;
Stracquadanio Giovanni
;
Sorathiya Anilkumar
;
Papini Alessio
;
Lio Pietro
;
Nicosia Giuseppe
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Carbon metabolism;
Geobacter sulfurreducens;
Metabolic engineering;
Multi-objective Optimization;
Mutational Robustness;
77.
Image quality aware metrics for performance specification of ADC array in 3D CMOS imagers
机译:
图像质量感知指标,用于3D CMOS成像器中ADC阵列的性能规格
作者:
Chang Hsiu-Ming
;
Cheng Kwang-Ting
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Array of electronics;
Performance metrics;
Yield enhancement;
78.
High effective-resolution built-in jitter characterization with quantization noise shaping
机译:
具有量化噪声整形的高效分辨率内置抖动表征
作者:
Yin Leyi
;
Kim Yongtae
;
Li Peng
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Built-in jitter characterization;
Vernier delay line;
gated ring oscillator;
noise shaping;
79.
A fast approach for static timing analysis covering all PVT corners
机译:
涵盖所有PVT角落的静态时序分析的快速方法
作者:
Onaissi Sari
;
Taraporevala Feroze
;
Liu Jinfeng
;
Najm Farid
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Corner analysis;
PVT corners;
clock network;
corner dominance;
80.
Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC
机译:
3D IC中的全芯片TSV至TSV耦合分析和优化
作者:
Liu Chang
;
Song Taigon
;
Cho Jonghyun
;
Kim Joohee
;
Kim Joungho
;
Lim Sung Kyu
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
3D IC;
TSV-to-TSV coupling;
81.
AENEID: A generic lithography-friendly detailed router based on post-RET data learning and hotspot detection
机译:
AENEID:基于RET后数据学习和热点检测的通用光刻友好型详细路由器
作者:
Ding Duo
;
Gao Jhih-Rong
;
Yuan Kun
;
Pan David Z.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Data Learning;
Design for Manufacturability;
Detailed Routing;
Hotpost Detection;
82.
A fast solver for nonlocal electrostatic theory in biomolecular science and engineering
机译:
生物分子科学与工程中非局部静电理论的快速求解器
作者:
Bardhan Jaydeep P.
;
Hildebrandt Andreas
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
83.
MO-Pack: Many-objective clustering for FPGA CAD
机译:
MO-Pack:用于FPGA CAD的多目标集群
作者:
Rajavel Senthilkumar Thoravi
;
Akoglu Ali
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Field programmable gate arrays FPGA;
clustering;
computer aided design (CAD);
energy optimization;
performance trade-offs;
routability;
84.
Shared reconfigurable fabric for multi-core customization
机译:
共享的可重新配置结构,用于多核定制
作者:
Chen Liang
;
Mitra Tulika
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Shared reconfigurable logic;
multi-core;
85.
Synchronous sequential computation with molecular reactions
机译:
分子反应的同步顺序计算
作者:
Jiang Hua
;
Riedel Marc
;
Parhi Keshab
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Computational Biology;
Digital Design;
Molecular Computation;
Sequential Logic;
Synchronous Logic;
Synthetic Biology;
86.
Learning microarchitectural behaviors to improve stimuli generation quality
机译:
学习微建筑行为以提高刺激产生的质量
作者:
Katz Yoav
;
Rimon Michal
;
Ziv Avi
;
Shaked Gai
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Functional Verification;
Machine Learning;
Microarchitecture;
Stimuli Generation;
87.
Robust partitioning for hardware-accelerated functional verification
机译:
强大的分区功能可进行硬件加速的功能验证
作者:
Moffitt Michael D.
;
Sustik Matyas A.
;
Villarrubia Paul G.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
functional verification;
hardware acceleration;
optimization;
partitioning;
simulation;
88.
CACTI-FinFET: An integrated delay and power modeling framework for FinFET-based caches under process variations
机译:
CACTI-FinFET:针对工艺变化下基于FinFET的缓存的集成延迟和功率建模框架
作者:
Lee Chun-Yi
;
Jha Niraj K.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
CACTI-FinFET;
FinFETs;
cache simulator;
process variation;
89.
A case for NEMS-based functional-unit power gating of low-power embedded microprocessors
机译:
基于NEMS的低功耗嵌入式微处理器功能单元电源选通的案例
作者:
Henry Michael
;
Srivastav Meeta
;
Nazhandali Leyla
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Functional Units;
Low Power;
NEMS;
Power Gating;
90.
Virtualization of heterogeneous machines
机译:
异构机器的虚拟化
作者:
Auerbach Joshua
;
Bacon David F.
;
Cheng Perry
;
Rabbah Rodric
;
Shukla Sunil
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
FPGA;
functional programming;
high level synthesis;
object oriented;
reconfigurable architecture;
streaming;
value type;
91.
Pipeline strategy for improving optimal energy efficiency in ultra-low voltage design
机译:
在超低压设计中提高最佳能效的管道策略
作者:
Seok Mingoo
;
Jeon Dongsuk
;
Chakrabarti Chaitali
;
Blaauw David
;
Sylvester Dennis
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Pipeline;
Super-pipeline;
Ultra Low Power;
Ultra Low Voltage;
92.
Fast algorithms for IR voltage drop analysis exploiting locality
机译:
利用局部性进行IR压降分析的快速算法
作者:
Kose Selcuk
;
Friedman Eby G.
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Design verification;
Effective resistance;
Power grid analysis;
Voltage drop;
93.
Power-gated MOS Current Mode Logic (PG-MCML): A power aware DPA-resistant standard cell library
机译:
功率门控MOS电流模式逻辑(PG-MCML):具功耗意识且耐DPA的标准单元库
作者:
Cevrero Alessandro
;
Regazzoni Francesco
;
Schwander Micheal
;
Badel Stephane
;
Ienne Paolo
;
Leblebici Yusuf
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Current Mode Logic;
DPA;
Security;
Side Channel Attacks;
94.
EFFEX: An embedded processor for computer vision based feature extraction
机译:
EFFEX:嵌入式处理器,用于基于计算机视觉的特征提取
作者:
Clemons Jason
;
Jones Andrew
;
Perricone Robert
;
Savarese Silvio
;
Austin Todd
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
EFFEX;
Feature extraction;
Heterogenous Architecture;
95.
Run-time adaptive energy-aware Motion and Disparity Estimation in Multiview Video Coding
机译:
多视点视频编码中的运行时自适应能量感知运动和视差估计
作者:
Zatt Bruno
;
Shafique Muhammad
;
Sampaio Felipe
;
Agostini Luciano
;
Bampi Sergio
;
Henkel Jorg
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Energy-Aware Design;
MVC;
Motion and Disparity Estimation;
On-Chip Memory;
Video Coding;
96.
RJOP - A customized Java processor for reactive embedded systems
机译:
RJOP-用于反应嵌入式系统的定制Java处理器
作者:
Nadeem Muhammad
;
Biglari-Abhari Morteza
;
Salcic Zoran
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Java Processor;
Reactive Embedded Systems;
Synchronous Languages;
97.
Hermes: An integrated CPU/GPU microarchitecture for IP routing
机译:
Hermes:用于IP路由的集成CPU / GPU微体系结构
作者:
Zhu Yuhao
;
Deng Yangdong
;
Chen Yubei
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
CPU/GPU Integration;
QoS;
Software Router;
98.
MARSS: A full system simulator for multicore x86 CPUs
机译:
MARSS:适用于多核x86 CPU的完整系统模拟器
作者:
Patel Avadh
;
Afram Furat
;
Chen Shunfei
;
Ghose Kanad
会议名称:
《2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC)》
|
2011年
关键词:
Emulator;
Full-System Simulator;
Heterogeneous Multi-core Systems;
Multi-core x86 CPU Simulator;
意见反馈
回到顶部
回到首页