掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Emerging Lithographic Technologies VII
Emerging Lithographic Technologies VII
召开年:
2003
召开地:
Santa Clara, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Employing Step and Flash Imprint Lithography for Gate Level Patterning of a MOSFET Device
机译:
采用分步和闪光压印光刻技术对MOSFET器件进行栅极电平图案化
作者:
B.J. Smith
;
N.A. Stacey
;
J.P. Donnelly
;
D.M. Onsongo
;
T.C. Bailey
;
C.J. Mackay
;
D.J. Resnick
;
W.J. Dauksher
;
D. Mancini
;
K.J. Nordquist
;
S.V. Sreenivasan
;
S.K. Banerjee
;
J.G. Ekerdt
;
C.G. Willson
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
step and flash imprint lithography;
alignment;
planarization;
etching;
MOSFET;
2.
Front to back alignment metrology
机译:
前后对齐计量
作者:
F.G.C. Bijnen
;
W. v. Buel
;
C.Q. Gui
;
J. Lof
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
front- to back-side alignment;
dual-side alignment;
double-side alignment;
back to back-side alignment;
CMP;
thick epitaxy;
3.
High conversion efficiency tin material laser plasma source for EUVL
机译:
用于EUVL的高转换效率锡材料激光等离子体源
作者:
C-S. Koay
;
C. Keyser
;
K. Takenoshita
;
E. Fujiwara
;
M. Al-Rabban
;
M.C. Richardson
;
I.C.E. Turcu
;
H. Rieger
;
A. Stone
;
J.H. Morris
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV sources;
EUV lithography;
mass-limited source;
laser plasma;
4.
Development of a 10 kHz capillary discharge EUV lamp
机译:
开发10 kHz毛细放电EUV灯
作者:
O.Sarroukh
;
E.Robert
;
T. Gonthiez
;
R. Viladrosa
;
M. M. Idrissi
;
C. Fleurier
;
J.M. Pouvesle
;
C. Cachoncinlle
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV lithography;
EUV source;
plasma discharge source;
capillary discharge source;
EUV lamp;
5.
Resist evaluation at 50 nm in the EUV using interferometric spatial frequency doubled imaging
机译:
使用干涉式空间频率加倍成像在EUV中的50 nm处进行抗性评估
作者:
Michael D. Shumway
;
Patrick Naulleau
;
Kenneth A. Goldberg
;
Eric L. Snow
;
Jeffrey Bokor
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
EUV;
schwarzschild objective;
spatial frequency doubling;
imaging;
6.
Stress Mitigation in Mo/Si Multilayers for EUV Lithography
机译:
用于EUV光刻的Mo / Si多层中的应力缓解
作者:
E. Zoethout
;
G. Sipos
;
R.W.E. van de Kruijs
;
A.E. Yakshin
;
E. Louis
;
S. Muellender
;
F. Bijkerk
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
stress mitigation;
Mo/Si multilayers;
EUV lithography;
7.
Thick Resist Alignment Technology for MEMS and Advanced Packaging
机译:
适用于MEMS和高级封装的厚抗蚀剂对准技术
作者:
Chad Brubaker
;
Bernhard Wieder
;
Paul Lindner
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
MEMS;
ultra-thick resist;
large gap alignment;
and contact/proximity mask aligner;
8.
A strong narrowband peak at 13.5-nm generated in a cavity-confined tin plasma
机译:
空腔受限的锡等离子体中在13.5 nm处产生一个很强的窄带峰
作者:
Tatsuya Aota
;
Hidehiko Yashiro
;
Yoshifumi Ueno
;
Toshihisa Tomie
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
laser produced plasma source;
sn;
cavity confine;
spectral efficiency;
nano particle target;
9.
CD controllability of proximity effect correction in EPL
机译:
EPL中邻近效应校正的CD可控性
作者:
Sumito Shimizu
;
Kazuaki Suzuki
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EPL;
proximity effect correction;
resizing method;
blur latitude;
dosage latitude;
MEF;
10.
Compact electron-based extreme ultraviolet source at 13.5 nm
机译:
紧凑的基于电子的13.5 nm极紫外光源
作者:
A. Egbert
;
B. Mader
;
B. Tkachenko
;
A. Ostendorf
;
B.N. Chichkov
;
T. Missalla
;
M.C. Schuermann
;
K. Gaebel
;
G. Schriever
;
U. Stamm
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet source;
EUV lithography;
EUV metrology;
11.
Design Strategies for Future Lithographic Technologies (or, OPC will never die)
机译:
未来光刻技术的设计策略(否则,OPC永远不会消失)
作者:
F.M. Schellenberg
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
RET;
OPC;
resolution enhancement;
maskless lithography;
immersion lithography;
EUV;
nanoimprint;
12.
Development of a Liquid-Jet Laser-Produced-Plasma Light Source for EUV Lithography
机译:
液体射流激光产生的等离子光刻光刻光源的开发
作者:
Tamotsu Abe
;
Takashi Suganuma
;
Yousuke Imai
;
Yukihiko Sugimoto
;
Hiroshi Someya
;
Hideo Hoshino
;
Georg Soumagne
;
Hiroshi Komori
;
Hakaru Mizoguchi
;
Akira Endo
;
Koichi Toyoda
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
laser produced plasma;
EUV;
light source;
lithography;
13.
Distortion management strategy for EPL reticle
机译:
EPL光罩的失真管理策略
作者:
Hajime Yamamoto
;
Takashi Aoyama
;
Noriyuki Hirayanagi
;
Kazuaki Suzuki
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron projection lithography;
suspension type chuck;
stencil reticle;
sub-field;
gravity deformation correction;
distortion;
14.
Electron-Beam Assisted Critical Dimension Reduction
机译:
电子束辅助临界尺寸缩减
作者:
Jei-Wei Chang
;
Chao-Peng Chen
;
Robert Yang
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron-beam lithography;
critical dimension reduction;
chemically amplified resists;
sub-100 nm;
monte-carlo simulations;
15.
Extending a GTD-based image formation technique to EUV Lithography
机译:
将基于GTD的图像形成技术扩展到EUV光刻
作者:
Andrew Khoh
;
Donis Flagello
;
Tom Milster
;
Byoung-Il Choi
;
Ganesh S. Samudra
;
Yihong Wu
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
image formation technique;
EUV lithography;
GTD;
DER;
OPC;
16.
High repetition rate compact excimer laser: UV light source for metrology, inspection, direct writing and material testing
机译:
高重复率紧凑型准分子激光器:用于计量,检查,直接书写和材料测试的紫外光源
作者:
Heinz Huber
;
Tobias Pflanz
;
Andreas Goertler
;
Helmut Schillinger
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
UV source;
excimer laser;
UV;
DUV;
VUV;
248 nm;
193 nm;
157 nm;
KrF laser;
ArF laser;
F_2 laser;
17.
High Resolution X-ray Masks for the Application of High Aspect Ratio Microelectromechanical Systems (HARMS)
机译:
用于高纵横比微机电系统(HARMS)的高分辨率X射线掩模
作者:
L. Wang
;
Y. M. Desta
;
J. Goettert
;
F. Xian
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
X-ray mask;
silicon nitride membrane;
intermediate mask;
HARMS;
18.
Maskless Lithography: a low-energy electron-beam direct writing system with a common CP-aperture and the recent progress
机译:
无掩模光刻:具有普通CP孔径的低能量电子束直接写入系统及其最新进展
作者:
Tetsuro Nakasugi
;
Atsushi Ando
;
Ryoichi Inanami
;
Noriaki Sasaki
;
Takumi Ota
;
Osamu Nagano
;
Yuuichiro Yamazaki
;
Kazuyoshi Sugihara
;
Ichiro Mori
;
Motosuke Miyoshi
;
Katsuya Okumura
;
Akira Miura
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
low-energy;
electron-beam;
maskless lithography;
resist process;
mark detection;
in-situ measurement;
19.
Maskless Lithography: Estimation of the Number of Shots for Each Layer in a Logic Device with Character Projection-type Low-energy Electron-Beam Direct Writing System
机译:
无掩膜光刻:使用字符投影型低能电子束直接书写系统估算逻辑设备中每层的发射数量
作者:
Ryoichi Inanami
;
Shunko Magoshi
;
Shouhei Kousai
;
Atsushi Ando
;
Tetsuro Nakasugi
;
Ichiro Mori
;
Kazuyoshi Sugihara
;
Akira Miura
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
BEOL;
character projection;
EBDW;
20.
Proximity effect correction on the multi-level interconnect metal for the high-energy electron-beam lithography
机译:
高能电子束光刻多级互连金属的邻近效应校正
作者:
Shunko Magoshi
;
Shinji Sato
;
Kazuo Tawarayama
;
Yasuhiro Makino
;
Hiromi Niiyama
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron beam;
high energy;
proximity effect;
multi-level interconnect metal;
21.
Study of ultra-fast ion shutter employing a laser-produced plasma
机译:
利用激光产生等离子体的超快离子快门的研究
作者:
Hidehiko Yashiro
;
Tatsuya Aota
;
Kentaro Nishigori
;
Yoshifumi Ueno
;
Toshihisa Tomie
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
laser-produced plasma;
EUV source;
plasma shutter;
22.
Supercritical Resist Dry Technique for Electron-Beam Projection Lithography (EPL)
机译:
电子束投影平版印刷术(EPL)的超临界抗干技术
作者:
George Petricich
;
Kohei Suzuki
;
Jun Munemasa
;
Tetsuya Yoshikawa
;
Nobuyuki Kawakami
;
Sumito Shimizu
;
Manabu Watanabe
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
supercritical;
supercritical dry;
supercritical resist dry;
SCCO2;
pattern collapse;
resist pattern collapse;
lithography;
EPL lithography;
23.
Cationic Graft Polymerization Lithography
机译:
阳离子接枝聚合光刻
作者:
Heather F. Johnson
;
Sahban N. Ozair
;
Andrew Jamieson
;
Brian C. Trinque
;
Colin C. Brodsky
;
C. Grant Willson
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
graft polymerization lithography;
silylation;
top surface imaging;
24.
Debris-Free EUV Source using a through-hole tin target
机译:
使用通孔锡靶的无碎片EUV源
作者:
Y.Ueno
;
T.Aota
;
G.Niimi
;
Dong-Hoon Lee
;
K.Nishigori
;
H.Yashiro
;
T.Tomie
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV;
plasma light source;
laser-produced plasma;
debris-free;
double pulses;
25.
Development of Xe-filled capillary discharge extreme ultraviolet radiation source for semiconductor lithography
机译:
氙气填充的毛细管放电极紫外辐射半导体光刻技术的开发
作者:
Yusuke Teramoto
;
Hiroto Sato
;
Kazunori Bessho
;
Koji Miyauchi
;
Mitsuru Ikeuchi
;
Keisuke Okubo
;
Masaki Yoshioka
;
Koichi Toyoda
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
capillary;
Z-pinch;
EUV;
lithography;
discharge;
plasma;
pulse;
26.
Estimation of EUV Mask Flatness for Allowable Pattern Shift
机译:
估算允许图案偏移的EUV掩模平整度
作者:
Akira Chiba
;
Minoru Sugawara
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV mask;
pattern shift;
flatness;
period;
OPD;
lithography;
27.
Illumination optimization via multifunction approximation
机译:
通过多功能逼近进行照明优化
作者:
Thomas G. Kuper
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
optimization;
illuminator design;
approximation;
28.
Improvement of Resist Pattern Collapse
机译:
抵抗模式崩溃的改善
作者:
Manabu Watanabe
;
Yoichi Tomo
;
Masaki Yamabe
;
Yukio Kiba
;
Keiichi Tanaka
;
Ryoichiro Naito
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
pattern collapse;
rinse-liquid sequence;
surfactant;
single layer;
29.
LPP-based reflectometer for characterization of EUV lithography systems
机译:
基于LPP的反射仪,用于表征EUV光刻系统
作者:
Akira Miyake
;
Takeshi Miyachi
;
Mitsuaki Amemiya
;
Takayuki Hasegawa
;
Nobuaki Ogushi
;
Takeshi Yamamoto
;
Fumitaro Masaki
;
Yutaka Watanabe
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
multilayer;
monochromator;
debris mitigation;
polarizer;
30.
Subfield Scheduling for Throughput Maximization in Electron-Beam Photomask Fabrication
机译:
电子束光掩模制造中通过量最大化的子场调度
作者:
Sergey Babin
;
Andrew B. Kahng
;
Ion I. Mandoiu
;
Swamy Muddu
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
31.
The Rayleigh method applied to EUV lithography simulation
机译:
瑞利方法在EUV光刻模拟中的应用
作者:
Maxime Besacier
;
Patrick Schiavone
;
Gerard Granet
;
Vincent Farys
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV lithography;
simulation;
mask;
defect;
modal method;
rayleigh method;
grating;
diffraction;
electromagnetic;
32.
The Repeller Field debris mitigation approach for EUV sources
机译:
EUV源的Repeller Field减缓碎片方法
作者:
K. Takenoshita
;
C-S. Koay
;
M. Richardson
;
I.C.E. Turcu
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
33.
3D Imaging of isolated lines of negative e-beam resist
机译:
负电子束抗蚀剂隔离线的3D成像
作者:
Andrew Eckert
;
Harold Gentile
;
Keith Mountfield
;
Carl Seller
;
XiaoMin Yang
;
Earl Johns
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
e-beam lithography;
profile reconstruction;
proximity effect;
data storage;
thin film heads;
nanofabrication;
3D-SEM imaging;
resolution;
34.
Calibration of EUV-2D Photoresist Simulation Parameters for Accurate Predictive Modelling
机译:
精确预测模型的EUV-2D光刻胶模拟参数校准
作者:
Stewart A. Robertson
;
Patrick P. Naulleau
;
Donna J. OConnell
;
Kevin McDonald
;
Todd Delano
;
Kenneth A. Goldberg
;
Steven G. Hansen
;
Kirk W. Brown
;
Robert L. Brainard
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
lithography simulation;
modelling parameter optimization;
35.
Comparison of EUV and Optical Device Wafer Heating
机译:
EUV与光学设备晶片加热的比较
作者:
J. Chang
;
R. L. Engelstad
;
E. G. Lovell
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
193-nm lithography;
wafer heating;
electrostatic chucking;
finite element analysis;
thermomechanical response;
36.
Evaluation of a high performance chemically amplified resist for EUVL mask fabrication
机译:
评估用于EUVL掩模的高性能化学放大抗蚀剂
作者:
Bing Lu
;
Eric Weisbrod
;
Pawitter Mangat
;
Kevin Nordquist
;
Eric Ainley
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUVL;
chemically amplified;
resists;
mask;
e-beam;
37.
Fabrication of Step and Flash~(TM) Imprint Lithography Templates Using Commercial Mask Processes
机译:
使用商业掩模工艺制作Step和Flash〜TM压印光刻模板
作者:
Ecron Thompson
;
Peter Rhyins
;
Ron Voisin
;
S.V. Sreenivasan
;
Patrick Martin
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
step and flash imprint lithography;
imprint lithography using UV cured liquids;
template fabrication;
phase mask processes;
38.
Optical Inspection of EPL Stencil Masks
机译:
EPL模板掩模的光学检查
作者:
James Welsh
;
Martin McCallum
;
Masashi Okada
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EPL;
mask inspection;
stencil mask;
39.
Phase shift mask in EUV lithography
机译:
EUV光刻中的相移掩模
作者:
Minoru Sugawara
;
Akira Chiba
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
attenuated phase shift mask;
alternating phase shift mask;
multiple interference;
40.
Predicting Local Thermomechanical Distortions of the 200-mm EPL Mask System
机译:
预测200毫米EPL掩模系统的局部热机械变形
作者:
Po-Tung Lee
;
Roxann L. Engelstad
;
Edward G. Lovell
;
Shintaro Kawata
;
Noriyuki Hirayanagi
;
Michael Sogard
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron projection lithography;
stencil mask;
thermomechanical distortions;
finite element analysis;
41.
PRINT ABILITY OF OPAQUE AND CLEAR PHASE-DEFECTS USING THE FINITE-DIFFERENCE TIME-DOMAIN (FDTD) METHOD
机译:
使用时域有限差分法(FDTD)的不透明和清晰相缺的打印能力
作者:
Christof Krautschik
;
Iwao Nishiyama
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV mask;
defect printability;
phase defect;
opaque defect;
FDTD;
CD control;
process window;
42.
Shot noise and process window study for printing small contact holes using EUV Lithography
机译:
使用EUV光刻技术在散布小接触孔方面的散粒噪声和工艺窗口研究
作者:
Sang H. Lee
;
Robert Bristol
;
John Bjorkholm
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUVL;
shot noise;
contact holes;
process window;
resist sensitivity;
43.
Study of Subfield Distortion of an EPL Stencil Mask
机译:
EPL模板掩模的子场失真研究
作者:
H. Takenaka
;
H. Yamashita
;
K. Koike
;
M. Yamabe
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EB lithography;
EPL;
stencil mask;
membrane mask;
IP;
image placement;
distortion;
44.
Advances in CPL, Collimated Plasma Source Full Field Exposure for Sub-100nm Lithography
机译:
100纳米以下光刻技术的CPL,准直等离子体源和全场曝光技术的进展
作者:
Brent Boerger
;
S. Mcleod
;
R. A. Forber
;
I. C. E. Turcu
;
C. J. Gaeta
;
D. K. Bailey
;
Jacob Ben-Jacob
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
collimated laser plasma lithography;
collimated laser plasma source;
chemically amplified resist;
X-Ray;
E-Beam;
DUV;
45.
Defect printability of hole pattern in electron projection lithography
机译:
电子投影光刻中孔图案的可印刷性不良
作者:
Jiro Yamamoto
;
Yoichi Tomo
;
Sumito Shimizu
;
Teruo Iwasaki
;
Masaki Yamabe
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron projection lithography;
stencil reticle;
defect;
printability;
inspection;
hole pattern;
46.
EUV Mask Stress Mapping by an Experimental and Hybrid Finite Element Technique
机译:
通过实验和混合有限元技术进行EUV掩模应力映射
作者:
Zhaohua Feng
;
Edward G. Lovell
;
Roxann L. Engelstad
;
Andrew R. Mikkelson
;
Phillip L. Reu
;
Jaewoong Sohn
;
Kenneth L. Blaedel
;
Andre A. Claudet
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
thin films;
EUV lithography;
multilayer stress analysis;
finite element methods;
47.
Imaging Capability of Low Energy Electron Beam Proximity Projection Lithography Toward the 65/45nm Node
机译:
低能电子束邻近投影光刻技术对65 / 45nm节点的成像能力
作者:
Hiroyuki Nakano
;
Shinichiro Nohdo
;
Kumiko Oguni
;
Tomonori Motohashi
;
Masaki Yoshizawa
;
Tetsuya Kitagawa
;
Shigeru Moriya
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
NGL;
LEEPL;
65 nm;
45 nm;
multi-layer resist;
thin resist;
resolution;
dose latitude;
spin-on-glass;
48.
22 nm Lithography Using Near Field X-rays
机译:
使用近场X射线的22 nm光刻
作者:
Antony J. Bourdillon
;
Gwyn P Williams
;
Yuli Vladimirsky
;
Chris B Boothroyd
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
PXL;
near field;
demagnification by bias;
ultra high resolution lithography;
49.
Characterization of a laser produced plasma source for a laboratory EUV reflectometer
机译:
用于实验室EUV反射仪的激光产生等离子体源的表征
作者:
Frank Scholze
;
Frank Scholz
;
Johannes Tuemmler
;
Gerhard Ulm
;
Herbert Legall
;
Peter-Viktor Nickles
;
Wolfgang Sandner
;
Holger Stiel
;
Ludwig van Loyen
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme UV;
reflectometry;
EUV lithography;
at-wavelength characterization;
EUV sources;
50.
EUV Mask Blank : defect detection at 100 nm
机译:
EUV掩模空白:100 nm处的缺陷检测
作者:
J. Hue
;
E. Quesnel
;
C. Pelle
;
V. Muffato
;
G. Carini
;
S. Favier
;
P. Besson
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
defect density;
blank;
stray light;
sensitivity;
counting device;
scattering;
particle;
silicon substrate;
glass substrate;
51.
Performance of the beta-tool for Low Energy Electron-beam Proximity-projection Lithography (LEEPL)
机译:
低能量电子束邻近投影光刻(LEEPL)的beta工具的性能
作者:
Akira Yoshida
;
Haruo Kasahara
;
Akira Higuchi
;
Hiroshi Nozue
;
Akihiro Endo
;
Nobuo Shimazu
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
LEEPL;
electron beam;
lithography;
proximity projection;
low energy;
next generation lithography;
stencil mask;
1 x mask;
multilayer resist;
vacuum pod;
52.
Wafer alignment with backscatter electron detection
机译:
晶片对准与反向散射电子检测
作者:
Hans Gijsbertsen
;
David Nijkerk
;
Giljam Derksen
;
Patrick de Jager
;
Stefan Keij
;
Maurits van der Schaar
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
alignment;
electron backscattering;
process-induced alignment offset;
scanning electron microscope;
W-CMP;
cu-damascene;
53.
Collection efficiency of EUV sources
机译:
EUV来源的收集效率
作者:
Guenther Derra
;
Wolfgang Singer
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV lithography;
EUV source;
HCT pinch source;
collection efficiency;
etendue;
source size;
54.
HEIGHTS Initial Simulation of Discharge Produced Plasma Hydrodynamics and Radiation Transport for EUV Lithography
机译:
HEIGHTS用于EUV光刻的放电产生的等离子体流体动力学和辐射传输的初始模拟
作者:
A. Hassanein
;
V. Sizyuk
;
V. Tolkach
;
V. Morozov
;
B. Rice
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
discharge-produced plasma;
HEIGHTS-EUV;
MHD;
radiation transport;
numerical simulation;
55.
Spatial emission characteristics of EUV plasma sources
机译:
EUV等离子体源的空间发射特性
作者:
K. Mann
;
S. Kranzusch
;
C. Peth
;
M.C. Schuermann
;
T. Missalla
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
13 nm radiation;
EUV;
laser-induced plasma;
gas puff target;
pinhole camera;
LIF;
absorptance of EUV radiation;
56.
The French R D Program on EUV Lithography: PREUVE
机译:
法国EUV光刻技术研发计划:PREUVE
作者:
Valerie Paret
;
Pierre Boher
;
Jean-Yves Robic
;
Remy Marmoret
;
Martin Schmidt
;
Christophe Cachoncille
;
Roland Geyl
;
Jean Jacques Ferme
;
Bernard Vidal
;
Jean Marie Barbiche
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV;
lithography;
sources;
multilayers;
optics;
masks;
reflectometry;
57.
Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography
机译:
用于EUV光刻的密集等离子聚焦光源的性能和缩放
作者:
Igor V. Fomenkov
;
Richard M. Ness
;
Ian R. Oliver
;
Stephan T. Melnychuk
;
Oleh V. Khodykin
;
Norbert R. Boewering
;
Curtis L. Rettig
;
Jerzy R. Hoffman
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV lithography;
EUV light source;
dense plasma focus;
DPF;
plasma pinch;
xe emission;
意见反馈
回到顶部
回到首页