掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on Advances in Patterning Materials and Processes
Conference on Advances in Patterning Materials and Processes
召开年:
2020
召开地:
San Jose(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
All New Nickel Based Metal Core Organic Cluster (MCOC) Resist for N7+ Node Patterning
机译:
用于N7 +节点构图的所有新型镍基金属芯有机簇(MCOC)均可抵抗
作者:
Satinder K. Sharma
;
Rudra Kumar
;
Manvendra Chauhan
;
Mohamad G. Moinuddin
;
Jerome Peter
;
Subrata Ghosh
;
Chullikkattil P. Pradeep
;
Kenneth E. Gonsalves
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Metal core organic cluster;
helium ion beam lithography;
sub-10 nm node;
negative tone resist;
semiconductor processing;
2.
Algebraic model for extremely unlikely resist dissolution events
机译:
极不可能发生抗蚀剂溶解事件的代数模型
作者:
Andrew R. Neureuther
;
Luke Long
;
Patrick Naulleau
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Stochastics;
photoresist;
dissolution;
road block;
missing contact;
error rate;
algebraic model;
3.
EUV chemically amplified resist component distribution and efficiency for stochastic defect control
机译:
EUV化学放大的抗蚀剂成分分布和用于随机缺陷控制的效率
作者:
Dario L. Goldfarb
;
Olivia Wang
;
Conor R. Thomas
;
Heather Polgrean
;
Margaret C. Lawson
;
Alexander E. Hess
;
Anuja De Silva
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV stochastics;
material distribution uniformity;
resist-hardmask interactions;
Dill C parameter;
photodecomposable base quencher;
PDB;
post-develop EUV resist residue;
vertical concentration profile;
4.
EUV resist chemical gradient enhancement by UV flood exposure for improvement in EUV resist resolution, process control, roughness, sensitivity and stochastic defectivity
机译:
通过UV泛光曝光提高EUV抗蚀剂的化学梯度,以改善EUV抗蚀剂的分辨率,工艺控制,粗糙度,灵敏度和随机缺陷率
作者:
Seiji Nagahara
;
Cong Que Dinh
;
Keisuke Yoshida
;
Gosuke Shiraishi
;
Yoshihiro Kondo
;
Kosuke Yoshihara
;
Kathleen Nafus
;
John S. Petersen
;
Danilo De Simone
;
Philippe Foubert
;
Geert Vandenberghe
;
Hans-Juergen Stock
;
Balint Meliorisz
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Flood Exposure Assisted Chemical Gradient Enhancement Technology (FACET);
Stochastic Aware Resist Formulation and Process Optimizer (SARF-Pro);
Photosensitized Chemically Amplified Resist (PSCAR);
PSCAR 2.0;
chemically amplified resist;
chemical gradient;
LER;
sensitivity;
stochastic failure probability;
UV flood exposure;
5.
Molecular Resists Equipped with Fluorinated Aromatic Units for Electron-beam and Extreme UV Lithography
机译:
配备氟化芳香单元的分子抗蚀剂,用于电子束和极限UV光刻
作者:
Hyun-Taek Oh
;
Kanghyun Kim
;
Byeon-Gyu Park
;
Sangsul Lee
;
Jin-Kyun Lee
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV lithography;
Electron-beam lithography;
EUV resist;
Fluorinated aromatics;
Non-chemically amplified resist (n-CAR);
6.
High Sensitivity non-CAR resists for EUV and EB Lithography
机译:
用于EUV和EB光刻的高灵敏度非CAR抗蚀剂
作者:
Kazuyo Morita
;
Kimiko Yamamoto
;
Masahiko Harumoto
;
Yuji Tanaka
;
Chisayo Mori
;
You Arisawa
;
Tomohiro Motono
;
Harold Stokes
;
Masaya Asai
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV;
hemicellulose;
non-CAR;
high etching resistance;
PreMi;
pre-exposure metal insertion;
EB;
7.
Sensitivity enhancement of chemically amplified EUV resist by adding diphenyl sulfone derivatives
机译:
通过添加二苯砜衍生物增强化学放大的EUV抗蚀剂的灵敏度
作者:
Kazumasa Okamoto
;
Shunpei Kawai
;
Takahiro Kozawa
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
acid-generating promoter (AGP);
chemically amplified resist;
EUV lithography;
electron beam lithography;
pulse radiolysis;
acid yield;
diphenyl sulfones;
8.
Explorations of missing hole defect in EUV patterning
机译:
EUV图案中缺失孔缺陷的探索
作者:
Hidetami Yaegashi
;
Arisa Hara
;
Soichiro Okada
;
Satoru Shimura
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV;
Adhesive work;
free interfacial energy;
missing defect;
scum;
resist residue;
9.
Mechanism of Resist Heating Effect in Chemically Amplified Resist
机译:
化学增强抗蚀剂中抗蚀剂加热效应的机理
作者:
Y. Ikari
;
K. Okamoto
;
N. Maeda
;
A. Konda
;
T. Kozawa
;
T. Tamura
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
electron beam;
lithography;
chemically amplified resist;
heating effect;
acid yield;
pulse radiolysis;
simulation;
10.
A physics-driven complex valued neural network (CVNN) model for lithographic analysis
机译:
用于光刻分析的物理驱动的复数值神经网络(CVNN)模型
作者:
Heehwan Lee
;
Minjong Hong
;
Min Kang
;
Hyun Sung Park
;
Kyusu Ahn
;
Yongwoo Lee
;
Yongjo Kim
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Lithography;
Simulation;
Physics-Driven;
Machine Learning;
CVNN;
FDNN;
11.
Infiltration synthesis of hybrid nanocomposite resists for advanced nanolithography
机译:
用于高级纳米光刻的杂化纳米复合抗蚀剂的渗透合成
作者:
Nikhil Tiwale
;
Ashwanth Subramanian
;
Kim Kisslinger
;
Ming Lu
;
Jiyoung Kim
;
Aaron Stein
;
Chang-Yong Nam
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
hybrid resists;
infiltration synthesis;
electron beam lithography (EBL);
etch resistance;
EUV lithography;
inductively coupled plasma- reactive ion etching (ICP-RIE);
12.
Defectivity modulation in EUV resists through advanced filtration technologies
机译:
EUV中的缺陷率调制通过先进的过滤技术来抵抗
作者:
Lucia DUrzo
;
Tom Umeda
;
Takehito Mizuno
;
Atsushi Hattori
;
Rao Varanasi
;
Amarnauth Singh
;
Rajan Beera
;
Philippe Foubert
;
Jelle Vandereyken
;
Waut Drent
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV Lithography;
microbridges;
POU filtration;
13.
How to improve overlay of highly deformed 3D NAND wafers
机译:
如何改善高度变形的3D NAND晶圆的覆盖率
作者:
Michael Kubis
;
Jing Jin
;
Steven Steen
;
Johan Beckers
;
Fayaz Shaikh
;
Bart van Schravendijk
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
3D NAND;
overlay;
deposition;
stress;
wafer shape;
14.
Challenges and opportunities of KrF photoresist development for 3D NAND application
机译:
用于3D NAND应用的KrF光刻胶开发的挑战和机遇
作者:
Yang Song
;
Mingqi Li
;
Jong Park
;
Xisen Hou
;
Yusuke Matsuda
;
Cong Liu
;
Emad Aqad
;
Janet Wu
;
Huan He
;
Huiying Liu
;
Paul Baranowski
;
Cheng Bai Xu
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
3D NAND;
photoresist;
lithography;
thick KrF;
15.
A DOE study of the plasma etched microlens shape for CMOS image sensors
机译:
对CMOS图像传感器的等离子蚀刻微透镜形状的DOE研究
作者:
Delia Ristoiu
;
Francois Leverd
;
Etienne Mortini
;
Jean-Luc Huguenin
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
CMOS image sensor;
zero gap;
etched microlens;
plasma etch;
16.
Unique freeze cleaning technology
机译:
独特的冷冻清洁技术
作者:
Daisuke Matsushima
;
Kensuke Demura
;
Sadayuki Jimbo
;
Kei Hattori
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Cleaning;
PRE;
Pattern collapse;
Freeze cleaning;
17.
Sacrificial Hardmask ALD with Hydrogen Peroxide: Comparative Study of Low Temperature Growth and Film Characteristics for TiO_2 and Al_2O_3
机译:
过氧化氢牺牲硬掩模ALD:TiO_2和Al_2O_3低温生长和薄膜特性的比较研究
作者:
Daniel Alvarez Jr.
;
Keisuke Andachi
;
Gaku Tsuchibuchi
;
Katsumasa Suzuki
;
Jeffrey Spiegelman
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Multiple Patterning;
Hydrogen Peroxide;
ALD;
Hardmask;
Spacer;
TiO_2;
Al_2O_3;
Area Selective Deposition;
18.
Roughness study on line and space patterning with chemo-epitaxy directed self-assembly
机译:
化学外延定向自组装线和空间图案的粗糙度研究
作者:
Hyo Seon Suh
;
Viktor Dudash
;
Gian Lorusso
;
Chris Mack
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
directed self-assembly;
DSA;
line-edge roughness;
LER;
linewidth roughness;
LWR;
power spectral density;
PSD analysis;
19.
Defect mitigation of chemo-epitaxy DSA patterns
机译:
化学外延DSA模式的缺陷缓解
作者:
Makoto Muramatsu
;
Takanori Nishi
;
Yasuyuki Ido
;
Takahiro Kitano
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
directed self-assembly;
chemo-epitaxy;
defect;
LER/LWR;
20.
Establishing a sidewall image transfer chemo-epitaxial DSA process using 193 nm immersion lithography
机译:
使用193 nm浸没式光刻技术建立侧壁图像转移化学外延DSA工艺
作者:
G.J. Rademaker
;
A. Le Pennec
;
T.J. Giammaria
;
K. Benotmane
;
H. Pham
;
C. Bouet
;
M.G. Gusmao Cacho
;
M. Argoud
;
M-L. Pourteau
;
A. Paquet
;
A. Gharbi
;
C. Navarro
;
C. Nicolet
;
X. Chevalier
;
K. Sakavuyi
;
P. Nealey
;
R. Tiron
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
directed self-assembly;
chemo-epitaxy;
block copolymers;
immersion 193i lithography;
sidewall image transfer;
21.
Performance enhancements with High Opacity Multi-Trigger Resist
机译:
高不透明度多触发抵抗增强了性能
作者:
C. Popescu
;
G. OCallaghan
;
A. McClelland
;
J. Roth
;
T. Lada
;
A.P.G. Robinson
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV lithography;
photoresist;
molecular resist;
multi-trigger resist;
chemical amplification;
crosslinking;
22.
Stochastic Effects on EUV CAR Systems: Investigation of Materials Impact
机译:
对EUV CAR系统的随机影响:材料影响调查
作者:
Katsuaki Nishikori
;
Kazuki Kasahara
;
Tetsurou Kaneko
;
Tomohiko Sakurai
;
Satoshi Dei
;
Ken Maruyama
;
Ramakrishnan Ayothi
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV;
Stochastic Effect;
Chemically Amplified Resist (CAR);
EUV Resist;
PAG;
23.
Addressing metallic contaminants in the photochemical supply chain
机译:
处理光化学供应链中的金属污染物
作者:
Jad A. Jaber
;
James Hamzik
;
Nicholas Filipancic
;
Justin Brewster
;
Annie Xia
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV lithography;
metals;
metal ions;
wafer yield;
device performance;
chemical integrity;
cone defects;
bulk filtration;
size exclusion mechanism;
adsorption mechanism;
chemical purity;
filtration;
24.
Improvement of EUV Si hardmask performance through wet chemistry functionalization
机译:
通过湿化学功能化改善EUV Si硬掩模性能
作者:
Yichen Liang
;
Andrea M. Chacko
;
Samantha Oelklaus
;
Ethan Lowrey
;
Veerle Van Driessche
;
Ivan R. Sedlacek
;
Ming Luo
;
Stephen M. Grannemann
;
Douglas J. Guerrero
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV lithography;
underlayer;
hardmask;
spin-on glass;
pattern collapse;
25.
Underlayer optimization method for EUV lithography
机译:
EUV光刻的底层优化方法
作者:
Pieter Vanelderen
;
Nadia Vandenbroeck
;
Yichen Liang
;
Veerle Van Driessche
;
Douglas Guerrero
;
Andrea Chacko
;
Danilo De Simone
;
Geert Vandenberghe
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
26.
Are Surfaces of Silicon Hardmasks Adaptive?
机译:
硅硬掩模的表面适应性强吗?
作者:
Xianggui (Shawn) Ye
;
Joyce Lowes
;
Zhimin Zhu
;
Richard Daugherty
;
Zhiqiang Fan
;
James Lamb
;
Tim Limmer
;
Srikanth Kommu
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
surface energy;
time-dependent contact angle measurement;
computer simulation;
molecular dynamics simulation;
Si-HM materials;
27.
Planarized Spin-on Carbon Hardmask
机译:
平面旋涂碳硬掩模
作者:
Iou-Sheng (Ike) Ke
;
Sheng Liu
;
Keren Zhang
;
Li Cui
;
Suzanne Coley
;
Shintaro Yamada
;
Jim Cameron
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
spin on carbon (SOC);
drain line compatibility;
planarization;
flow material;
28.
Highly substituted fullerene based spin-on organic hardmasks
机译:
高度取代的基于富勒烯的旋涂式有机硬掩模
作者:
Alan G. Brown
;
Guy Dawson
;
Greg OCallaghan
;
Carmen Popescu
;
Alexandra McClelland
;
Tom Lada
;
Bryan Schofield
;
Warren Montgomery
;
Alex P.G. Robinson
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Spin-on Carbon;
Organic Hard Mask;
Fullerene;
ICP Silicon Etching;
29.
Consideration of missing defect suppression technique in EUV hole patterning
机译:
对EUV孔图案中缺失缺陷抑制技术的考虑
作者:
Takahiro Shiozawa
;
Arisa Hara
;
Satoru Shimura
;
Hidetami Yaegashi
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV;
missing contact;
N5;
via hole;
stochastic noise;
30.
Focusing on nanoparticles based photomultiplier in n-CARs
机译:
专注于n-CAR中基于纳米颗粒的光电倍增管
作者:
Satinder K. Sharma
;
Mohamad G. Moinuddin
;
MidathalaYogesh
;
Shivani Sharma
;
Manoj Sahani
;
Subrata Ghosh
;
Kenneth E. Gonsalves
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Ag Nanoparticles;
helium ion beam lithography;
electron beam lithography;
non-chemically amplified resist;
shelf life;
and Critical Dimensions (CD);
31.
Block Copolymers with a Fluoro-block for 5 nm DSA Patterning Application
机译:
用于5 nm DSA图案化的含氟嵌段嵌段共聚物
作者:
Xuemiao Li
;
Zhilong Li
;
Le Dai
;
Hui Cao
;
Hai Deng
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
BCP;
DSA;
Fast Self-assembly;
sub-3 nm node;
sub-5 nm resolution;
x value;
solvent effect;
32.
Block Copolymer line roughness measurement via PSD: application to fingerprint samples
机译:
通过PSD进行嵌段共聚物线粗糙度测量:应用于指纹样品
作者:
Aurelie Le Pennec
;
Jerome Reche
;
Patrick Quemere
;
Guido Rademaker
;
Romain Jarnias
;
Charlotte Bouet
;
Celia Nicolet
;
Christophe Navarro
;
Maxime Argoud
;
Raluca Tiron
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Block copolymer;
Fingerprint;
Line Width Roughness;
Power Spectral Density;
33.
Expanding DSA process window with atmospheric control
机译:
通过大气控制扩展DSA过程窗口
作者:
Nathalie Frolet
;
Maxime Argoud
;
Charlotte Bouet
;
Karine Jullian
;
Yuji Tanaka
;
Chisayo Mori
;
You Arisawa
;
Tomohiro Motono
;
Masahiko Harumoto
;
Harold Stokes
;
Masaya Asai
;
Raluca Tiron
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
BCP;
process windows;
defectivity;
lamellar;
cylinder;
PS-PMMA;
34.
Understanding and Mitigating Bridge Defects in Block Copolymer Directed Self-Assembly through Computational Materials Design and Optimization
机译:
通过计算材料设计和优化来理解和缓解嵌段共聚物定向自组装中的桥缺陷
作者:
Jakin B. Delony
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
block copolymer;
directed self-assembly;
defect;
bridge;
chemoepitaxy;
molecular dynamics;
modeling;
simulation;
35.
New poly-maleimide resin for SOC hardmask with high thermal stability
机译:
具有高热稳定性的用于SOC硬掩模的新型聚马来酰亚胺树脂
作者:
Hiroaki Yamamoto
;
Junya Horiuchi
;
Tadashi Omatsu
;
Takashi Makinoshima
;
Shinichi Nagao
;
Masatoshi Echigo
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Spin-On Carbon Hardmask;
lithography;
raw materials;
thermal stability;
36.
Design Considerations for High Etch Resistance Spin-on Carbon Underlayers
机译:
高耐蚀性旋涂碳底层的设计注意事项
作者:
Li Cui
;
Iou-Sheng Ke
;
Anton Chavez
;
Keren Zhang
;
Paul LaBeaume
;
Suzanne Coley
;
Shintaro Yamada
;
Jim Cameron
;
Lei Zhang
;
William Marshall
;
Benjamin Foltz
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Etch;
thermal stability;
spin-on carbon (SOC);
underlayers;
37.
Development of planarizing spin-on carbon materials for high-temperature processes
机译:
开发用于高温工艺的平面化旋涂碳材料
作者:
Runhui Huang
;
Xing-Fu Zhong
;
Jakub Koza
;
Boyu Zhang
;
Gu Xu
;
Sean Simmons
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Multilayer lithography;
spin-on carbon;
high temperature;
planarization;
38.
Super planarizing material for trench and via arrays
机译:
用于沟槽和通孔阵列的超级平面化材料
作者:
Runhui Huang
;
Xing-Fu Zhong
;
Boyu Zhang
;
Jakub Koza
;
Stephen Smith
;
Sean Simmons
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Multilayer lithography;
planarization;
spin on carbon;
39.
Defect Mitigation and Characterization in Silicon Hardmask Materials
机译:
硅硬掩模材料中的缺陷缓解和表征
作者:
Vineet Alexander
;
Shyam Paudel
;
Glenn Dado
;
Lucia DUrzo
;
Virgil Briggs
;
Mona Bavarian
;
Rao Varanasi
;
Tim Limmer
;
Nick Brakensiek
;
Levi Gildehaus
;
Mike Mesawich
;
Douglas Guerrero
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Silicon Hardmask;
Defects;
Filtration;
Removal Rate;
Coat Defects;
Defect Review;
SEM-EDX;
40.
Development of ion exchange purification for advanced materials contain siloxane polymer
机译:
开发用于含硅氧烷聚合物的高级材料的离子交换纯化
作者:
Takumi Oya
;
Suguru Sassa
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Silicon hard mask;
Ion exchange;
Material purification;
Siloxane polymer;
41.
Study of Lithographic Characteristics Due to Differences in Novolac Resin Structure
机译:
酚醛清漆树脂结构差异导致的光刻特性研究
作者:
Atsushi Sekiguchi
;
Hatsuyuki Tanaka
;
Hiroko Minami
;
Yoko Matsumoto
;
Makoto Hanabata
会议名称:
《》
|
2020年
关键词:
novolac resin;
photoactive compound (PAC);
m-cresol (meta-cresol);
p-cresol (para-cresol);
xylenol;
development rate;
lithography simulation;
42.
Local condensation of TMAH developer at photoresist/glass interface analyzed by using confocal laser scanning microscope (CLSM)
机译:
使用共聚焦激光扫描显微镜(CLSM)分析TMAH显影剂在光致抗蚀剂/玻璃界面处的局部冷凝
作者:
H. Takemi
;
A. Kawai
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
photoresist dissolution;
confocal laser scanning microscope (CLSM);
penetration of TMAH developer;
pattern edge roughness;
43.
Adhesion Improvement of Photoresist: Destruction Mode Analysis
机译:
光刻胶的附着力改善:破坏模式分析
作者:
K. Hasegawa
;
A. Kawai
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
adhesion;
AFM;
destruction mode;
surface free energy;
contact angle;
interaction energy;
HMDS;
adhesion work;
44.
Fundamental Study on lithographic characteristics of metal oxo clusters for KrF, ArF, and electron beam lithography
机译:
用于KrF,ArF和电子束光刻的金属氧簇的光刻特性基础研究
作者:
Hiroki Yamamoto
;
Yasunari Maekawa
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Hybrid inorganic-organic resist material;
Metal oxo clusters;
KrF lithography;
ArF lithography;
Electron beam;
Crosslinking;
dissolution behavior;
45.
A Novel Filter Screening Methodology for Si Hardmask Materials in EUV lithography
机译:
EUV光刻中Si硬掩模材料的新型滤光片筛选方法
作者:
T. Kohyama
;
Kozue Miura
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV lithography;
chemical integrity;
cone defects;
bulk filtration;
size exclusion mechanism;
adsorption mechanism;
chemical purity;
filtration;
46.
Improving EUV underlayer coating defectivity using Point-of-Use filtration
机译:
使用使用点过滤改善EUV底层涂层的缺陷率
作者:
Aiwen Wu
;
Hareen Bayana
;
Philippe Foubert
;
Andrea Chacko
;
Douglas Guerrero
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
EUV photochemicals;
contamination control;
photoresist defects;
filter;
47.
CD Uniformity Improvement for sub 20 nm DRAM process with Negative Tone Development
机译:
负音开发可改善20纳米以下DRAM工艺的CD均匀性
作者:
YC.Lin
;
Mifong Wu
;
Le Wang
;
Baijun Sun
;
Hiroki Ohtaguro
;
Takeshi Shimoaoki
;
Yusaku Hashimoto
;
Koichi Hontake
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
NTD (Negative Tone Developer);
CDU (Critical Dimension Uniformity) Global CDU;
Inter shot CDU;
Intra shot CDU;
Chemical consumption;
48.
Marangoni effect-based under-layer for a Dual Damascene via-first approach: a scalable solution to the unwanted photoresist swing effect
机译:
基于Marangoni效应的双镶嵌先行方法下层:可扩展的解决方案,可解决不必要的光刻胶摆动效应
作者:
V. DallAsta
;
E. Litterio
;
N. Corneo
;
J. Koza
;
J. Jeauneau
;
P. Cantu
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2020年
关键词:
Dual Damascene;
Via-first;
Via-filling;
Marangoni effect;
Swing effect;
Planarization;
KrF 248 nm lithography;
49.
Development of Metal-Organic Cluster based Negative Tone Resist: Pre-screened through the Helium-ion Beam prelude to Extreme Ultraviolet Lithography (EUVL) Applications
机译:
基于金属 - 有机簇的负色调抗蚀剂的开发:通过氦离子束前衬里预先筛选至极端紫外线(EUVL)应用
作者:
Satinder K. Sharma
;
Manvendra Chauhan
;
Rudra Kumar
;
Kumar Palit
;
Sumit Choudhary
;
Kenneth E. Gonsalves
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Indium metal-organic cluster;
Copper metal-organic cluster;
Helium ion beam lithography;
sub 10 nm resolution;
Line edge/width roughness;
EUV lithography;
50.
Preventing method of volume expansion of polymer after metal infiltration
机译:
金属浸润后聚合物体积膨胀的预防方法
作者:
Norikatsu Sasao
;
Shinobu Sugimura
;
Koji Asakawa
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Metal infiltration;
Poly(tert-butyl methacrylate);
Trimethylaluminum;
Volume compensation;
Dissociation;
51.
Top-coats for scalable Nano-manufacturing with High-x Block Copolymers in Lithographic Applications
机译:
具有高X嵌段共聚物的可伸缩纳米制造的顶层涂层在光刻应用中
作者:
Xavier Chevalier
;
Cindy Gomes Correia
;
Gwenaelle Pound-Lana
;
Philippe Bezard
;
Matthieu Serege
;
Camille Petit-Etienne
;
Guillaume Gay
;
Gilles Cunge
;
Benjamin Cabannes-Boue
;
Celia Nicolet
;
Christophe Navarro
;
Ian Cayrefourcq
;
Marcus Mueller
;
Georges Hadziioannou
;
Ilias Iliopoulos
;
Guillaume Fleury
;
Marc Zelsmann
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
52.
Spatial Arrangement of Block Copolymer Nanopatterns Using Photoactive Homopolymer Substrates
机译:
光活性均聚物基材的嵌段共聚物纳米透露图纳瓦图的空间布置
作者:
Jiacheng Zhao
;
Francis J. McCallum
;
Zhen Jiang
;
Joshua A. Kaitz
;
James F. Cameron
;
Peter Trefonas Ⅲ
;
Idriss Blakey
;
Hui Peng
;
Andrew K. Whittaker
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
block copolymer;
directed self-assembly;
chemoepitaxy;
photo-Fries rearrangement;
53.
High contact angle embedded barrier layer materials for next-generation 193 immersion lithography
机译:
下一代193浸入光刻的高接触角嵌入式阻挡层材料
作者:
Joshua Kaitz
;
Mingqi Li
;
Ryan Lee
;
Amy Kwok
;
Thomas Cardolaccia
;
Amiel Evans
;
Choong-Bong Lee
;
Xisen Hou
;
Ke Yang
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Embedded barrier layers;
Topcoats;
ArF lithography;
193i;
Immersion lithography;
Hydrophobic polymers;
Surface chemistry;
Water contact angle;
54.
Optimization of Point-Of-Use Filtration for Metal Oxide Photoresist
机译:
金属氧化物光致抗蚀剂的使用点过滤优化
作者:
Aiwen Wu
;
Harvey Tang
;
Gregg Conner
;
Shu Hao Chang
;
Gaetano Giordano
;
Dominick Smiddy
;
Mark Geniza
;
Benjamin L Clark
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
non-CAR resists;
metal oxide resists;
point of use filtration;
EUV lithography;
defects;
55.
Reducing the Absorbance of a High Etch Resistant Spin-on Carbon Hardmask
机译:
降低高蚀刻抗旋转碳硬掩模的吸光度
作者:
Anton Chavez
;
Iou-Sheng Ke
;
Sabrina Wong
;
Curtis Williamson
;
Li Cui
;
Paul LaBeaume
;
Jim Cameron
;
Shintaro Yamada
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Spin-on carbon;
SOC;
underlayer;
hardmask;
etch;
absorbance;
56.
EUV resist performance enhancement by UV flood exposure for high NA EUV lithography
机译:
EUV抗抗紫外线暴露性能提高高NA EUV光刻
作者:
Cong Que Dinh
;
Seiji Nagahara
;
Keisuke Yoshida
;
Yoshihiro Kondo
;
Makoto Muramatsu
;
Kosuke Yoshihara
;
Ryo Shimada
;
Teruhiko Moriya
;
Kathleen Nafus
;
John S. Petersen
;
Danilo De Simone
;
Philippe Foubert
;
Geert Vandenberghe
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
EUV resist;
high NA EUVL;
chemical gradient;
stochastic defects;
process window;
roughness;
resolution;
sensitivity;
Photosensitized Chemically Amplified Resist™;
PSCAR™;
57.
Improved Non-CAR Type Hemicellulose Resists for EUV Lithography
机译:
改进的非汽车型半纤维素抵抗EUV光刻
作者:
Kazuyo Morita
;
Yasuaki Tanaka
;
Kimiko Yamamoto
;
Hiroki Tanaka
;
Masahiko Harumoto
;
Yuji Tanaka
;
Chisayo Mori
;
Tomohiro Motono
;
Harold Stokes
;
Andreia Figueiredo dos Santos
;
Masaya Asai
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
EUV;
high-NA;
resist;
hemicellulose;
non-CAR;
chain scission;
58.
High performance filtration for bulk materials: a novel HDPE membrane filter designed for EUV Lithography
机译:
用于散装材料的高性能过滤:专为EUV光刻设计的新型HDPE膜滤波器
作者:
Lucia DUrzo
;
Torn Umeda
;
Takehito Mizuno
;
Atsushi Hattori
;
Amamauth Singh
;
Rajan Beera
;
Philippe Foubert
;
Waut Drent
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
EUV Lithography;
microbridges;
bulk filtration;
POU filtration;
59.
Multidimensional Process Optimization of a negative E-Beam Photoresist for Silicon-Waveguide Manufacturing
机译:
硅 - 波导制造负极电子束光致抗蚀剂的多维过程优化
作者:
Markus Greul
;
Holger Sailer
;
Mathias Kaschel
;
Joachim N. Burghartz
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
electron-beam lithography;
silicon photonics;
chemically amplified resist;
lithography process optimization;
60.
Analysis of dissolution kinetics of poly(4-hydroxystyrene) with different molecular weight distributions in alkaline aqueous solution using machine learning
机译:
利用机器学习分析多种分子量分布的聚(4-羟基苯乙烯)溶出动力学分析
作者:
Naoki Tanaka
;
Kyoko Watanabe
;
Kyoko Matsuoka
;
Kazuki Azumagawa
;
Takahiro Kozawa
;
Takuya Ikeda
;
Yoshitaka Komuro
;
Daisuke Kawana
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
development;
chemically amplified resists;
molecular weight distributions;
machine learning;
61.
NEXT GENERATION PURIFICATION METHOD FOR ACHIEVING LOW TRACE METALS IN ULTRA-HIGH PURITY CHEMICALS
机译:
用于在超高纯度化学物质中实现低痕量金属的下一代纯化方法
作者:
Majid Entezarian
;
Mitsuaki Kobayashi
;
Yukihisa Okada
;
Takaaki Shirai
;
Keita Abe
;
Taiji Yamasaki
;
Robert Gieger
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
High Purity Chemicals;
Metal Reduction;
Ion Exchange;
Advanced Photolithography;
62.
Nano-scale effects of selective spin-on polymer deposition
机译:
选择性旋析聚合物沉积的纳米级效应
作者:
Ryan Burns
;
Yuanyi Zhang
;
Colton DAmbra
;
Mark Somervell
;
Sean Berglund
;
Michael Carcasi
;
Lior Huli
;
Muramatsu Makoto
;
Rachel A. Segalman
;
Craig J. Hawker
;
Christopher M. Bates
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
selective deposition;
nano-scale patterns;
dewetting;
spin coating;
self-assembled monolayer (SAM);
63.
Hybrid resist synthesis by ex-situ vapor-phase infiltration of metal oxides into conventional organic resists
机译:
用诸如金属氧化物中的ex-situ气相渗透到常规有机抗蚀剂中的杂交抗蚀剂
作者:
Nikhil Tiwale
;
Ashwanth Subramanian
;
Guillaume Freychet
;
Eliot Gann
;
Kim Kisslinger
;
Ming Lu
;
Aaron Stein
;
Jiyoung Kim
;
Chang-Yong Nam
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
hybrid resists;
infiltration synthesis;
extreme ultraviolet lithography (EUVL);
electron beam lithography (EBL);
etch resistance;
64.
Probing Ion Diffusion in Chemically Amplified Resists Through Experiments and Atomistic Simulations
机译:
通过实验和原子模拟在化学放大抗蚀剂中探测离子扩散
作者:
Christopher M. Bottoms
;
Tanguy Terlier
;
Gila E. Stein
;
Manolis Doxastakis
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Chemically Amplified Resist;
TOF-SIMS;
Molecular Dynamics;
Reaction-diffusion;
Acid Diffusion;
Ion Diffusion in Polymers;
65.
Chemistry working for lithography: the Marangoni-effect-based single layer for enhanced planarization
机译:
用于光刻的化学工作:基于Marangoni效应的单层,用于增强平面化
作者:
Valentina DallAsta
;
Emma Litterio
;
Nicoletta Corneo
;
Jakub Koza
;
Jonathan Jeauneau
;
Chiara Bertarelli
;
Eusebio Barozzi
;
Tito. F. Bellunato
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Dual-Damascene;
swing;
Marangoni;
under-layer;
planarization;
66.
Self-Aligned Double Pattern Process using DSA pattern
机译:
使用DSA模式自对准双层图案
作者:
Makoto Muramatsu
;
Takanori Nishi
;
Yasuyuki Ido
;
Takahiro Kitano
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
directed self-assembly;
chemo-epitaxy;
self-aligneddouble pattern;
67.
Fundamental study of polymer dynamic behavior in resist processing
机译:
抗蚀剂加工中聚合物动力学行为的根本研究
作者:
Hidetami Yaegashi
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Stochastics;
Polymer dynamics;
Polymer aggregate;
LER;
missing defect;
EUV;
Resolution limit;
68.
Development of metal purifiers specific to lithography materials
机译:
光刻材料特异性的金属净化器的开发
作者:
Andre Xiao
;
Kalon Ke
;
Yoshiaki Yamada
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Metal purifier;
Defect reduction;
Nylon membrane;
Lithography friendly;
Nylonpolar;
69.
Highly Fluorinated Alternating Copolymers Possessing High Glass Transition Temperature and Cross-linking Capabilities under Extreme UV Radiation
机译:
高氟化的交替共聚物,具有高玻璃化转变温度和极端紫外线辐射下的交联能力
作者:
Ye-Jin Ku
;
Hyun-Taek Oh
;
Kanghyun Kim
;
Byeong-Gyu Park
;
Sangsul Lee
;
Jin-Kyun Lee
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Electron beam lithography;
Extreme UV lithography;
EUV resist;
Fluoropolymer;
Alternating copolymer;
Chemically amplified photoresist (CAR);
Non-chemically amplified photoresist (Non-CAR);
70.
EUV lithographic process enablement with novel litho track hardware
机译:
EUV光刻工艺启用与小说Litho轨道硬件
作者:
Andreia Santos
;
V. Kosma
;
J. Vandereyken
;
H. Marhfour
;
Y. Tanaka
;
M. Harumoto
;
M. Asai
;
H. Stokes
;
H.S. Suh
;
P. Foubert
;
D. De Simone
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
EUV;
chain-scission resist;
track process;
development optimization;
defect mitigation. LWR improvement. UV treatment;
developer temperature;
71.
EUV defect reduction activities using coater/developer and etching technique
机译:
EUV使用涂布机/开发者和蚀刻技术缺陷减少减少活动
作者:
Takahiro Shiozawa
;
Keisuke Yoshida
;
Noriaki Nagamine
;
Arnaud Dauendorffer
;
Satoru Shimura
;
Kathleen Nafus
;
Yannick Feurprier
;
Kenta Ono
;
Shota Yoshimura
;
Atsutoshi Inokuchi
;
Kiyoshi Maeda
;
Tetsuya Nishizuka
;
Shinya Morikita
;
Yoshihide Kihara
;
Ken Kobayashi
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
EUV lithography;
Stochastic noise;
Etching margin;
Defectivity;
72.
Enabling EUV pattern transfer by optimized underlayer
机译:
通过优化的底层实现EUV模式转移
作者:
Soichiro Okada
;
Keisuke Yoshida
;
Satoru Shimura
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
EUV lithography;
line pattern collapse;
surface free energy;
adhesive work;
SiC;
73.
Sequential infiltration synthesis and pattern transfer using 6 nm half-pitch carbohydrate-based fingerprint block copolymer
机译:
使用6nm半间距碳水化合物的指纹嵌段共聚物顺序渗透合成和图案转移
作者:
Anette Loefstrand
;
Reza Jafari Jam
;
Muhammad Mumtaz
;
Karolina Mothander
;
Tommy Nylander
;
Alexei Vorobiev
;
Ahibur Rahaman
;
Wen-Chang Chen
;
Redouane Borsali
;
Ivan Maximov
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
Block copolymer;
carbohydrate;
sequential infiltration synthesis;
specular neutron reflectometry;
pattern transfer;
74.
Modeling the Acid-Catalyzed Cleavage of Carbon-Oxygen Bonds
机译:
模拟碳氧键的酸催化切割
作者:
Justin Nhan
;
Jacob Sitterly
;
Robert L. Brainard
会议名称:
《Conference on Advances in Patterning Materials and Processes》
|
2021年
关键词:
193-nm lithography;
EUV;
DUV;
acid-catalyzed;
carbocations;
and kinetic modeling;
意见反馈
回到顶部
回到首页