掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
2011 16th Asia and South Pacific Design Automation Conference
2011 16th Asia and South Pacific Design Automation Conference
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Wire synthesizable global routing for timing closure
机译:
有线可综合全局布线以实现时序收敛
作者:
Moffitt M.D.
;
Sze C.N.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
2.
Biological information sensing technologies for medical, health care, and wellness applications
机译:
用于医疗,保健和健康应用的生物信息传感技术
作者:
Imai M.
;
Takeuchi Y.
;
Sakanushi K.
;
Iwato H.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
3.
Healthcare of an organization: Using wearable sensors and feedback system for energizing workers
机译:
组织的医疗保健:使用可穿戴式传感器和反馈系统为工人供电
作者:
Ara K.
;
Akitomi T.
;
Sato N.
;
Tsuji S.
;
Hayakawa M.
;
Wakisaka Y.
;
Ohkubo N.
;
Otsuka R.
;
Beniyama F.
;
Moriwaki N.
;
Yano K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
4.
A polynomial-time custom instruction identification algorithm based on dynamic programming
机译:
基于动态规划的多项式时间自定义指令识别算法
作者:
Junwhan Ahn
;
Imyong Lee
;
Kiyoung Choi
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
5.
Exploring the fidelity-efficiency design space using imprecise arithmetic
机译:
使用不精确算法探索保真效率设计空间
作者:
Jiawei Huang
;
Lach J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
6.
Throughput optimization for latency-insensitive system with minimal queue insertion
机译:
对延迟不敏感的系统的吞吐量优化,队列插入最少
作者:
Juinn-Dar Huang
;
Yi-Hang Chen
;
Ya-Chien Ho
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
7.
A fast and effective dynamic trace-based method for analyzing architectural performance
机译:
一种快速有效的基于动态跟踪的建筑性能分析方法
作者:
Yi-Siou Chen
;
Lih-Yih Chiou
;
Hsun-Hsiang Chang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
8.
Controlling NBTI degradation during static burn-in testing
机译:
在静态老化测试期间控制NBTI降级
作者:
Chakraborty A.
;
Pan D.Z.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
9.
A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs
机译:
NBTI感知电压缩放和主体偏置的细粒度技术,用于基于标准单元的设计
作者:
Yongho Lee
;
Taewhan Kim
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
10.
NBTI-aware power gating design
机译:
NBTI感知电源门控设计
作者:
Ming-Chao Lee
;
Yu-Guang Chen
;
Ding-Kei Huang
;
Shih-Chieh Chang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
11.
Efficient sensitivity-based capacitance modeling for systematic and random geometric variations
机译:
基于灵敏度的高效电容建模,可实现系统和随机的几何变化
作者:
Yu Bi
;
Harpe P.
;
van der Meijs N.P.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
12.
Robust power gating reactivation by dynamic wakeup sequence throttling
机译:
通过动态唤醒序列节流实现强大的功率门控重新激活
作者:
Tung-Yeh Wu
;
Shih-Hsin Hu
;
Abraham J.A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
13.
Robust Clock Tree Synthesis with timing yield optimization for 3D-ICs
机译:
稳健的时钟树综合,具有针对3D-IC的时序良率优化
作者:
Jae-Seok Yang
;
Jiwoo Pak
;
Xin Zhao
;
Sung Kyu Lim
;
Pan D.Z.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
14.
Track routing optimizing timing and yield
机译:
跟踪布线优化时序和良率
作者:
Gao X.
;
Macchiarlo L.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
Geometric Programming;
Track routing;
timing;
yield;
15.
Simultaneous redundant via insertion and line end extension for yield optimization
机译:
通过插入和线路末端扩展同时冗余以优化产量
作者:
Shing-Tung Lin
;
Kuang-Yao Lee
;
Ting-Chi Wang
;
Cheng-Kok Koh
;
Kai-Yuan Chao
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
16.
Pruning-based trace signal selection algorithm
机译:
基于修剪的跟踪信号选择算法
作者:
Kang Zhao
;
Jinian Bian
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
17.
An enhanced leakage-aware scheduler for dynamically reconfigurable FPGAs
机译:
用于动态可重新配置FPGA的增强型泄漏感知调度器
作者:
Jen-Wei Hsieh
;
Yuan-Hao Chang
;
Wei-Li Lee
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
18.
Power management strategies in data transmission
机译:
数据传输中的电源管理策略
作者:
Tiefei Zhang
;
Ying-Jheng Chen
;
Che-Wei Chang
;
Chuan-Yue Yang
;
Tei-Wei Kuo
;
Tianzhou Chen
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
19.
Parallel statistical capacitance extraction of on-chip interconnects with an improved geometric variation model
机译:
利用改进的几何变化模型并行提取片上互连的统计电容
作者:
Wenjian Yu
;
Chao Hu
;
Wangyang Zhang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
20.
Robust spatial correlation extraction with limited sample via L1-norm penalty
机译:
通过L1范数惩罚对有限样本进行鲁棒的空间相关提取
作者:
Mingzhi Gao
;
Zuochang Ye
;
Dajie Zeng
;
Yan Wang
;
Zhiping Yu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
21.
Device-parameter estimation with on-chip variation sensors considering random variability
机译:
考虑随机变化的片上变化传感器进行设备参数估计
作者:
Shinkai K.
;
Hashimoto M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
device-parameter extraction;
die-to-die variation;
process variability;
variation sensor;
within-die variation;
22.
Accounting for inherent circuit resilience and process variations in analyzing gate oxide reliability
机译:
在分析栅极氧化物可靠性时考虑固有的电路弹性和工艺变化
作者:
Jianxin Fang
;
Sapatnekar S.S.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
23.
Variation-tolerant and self-repair design methodology for low temperature polycrystalline silicon liquid crystal and organic light emitting diode displays
机译:
低温多晶硅液晶和有机发光二极管显示器的耐变化和自修复设计方法
作者:
Chih-Hsiang Ho
;
Chao Lu
;
Mohapatra D.
;
Roy K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
24.
A physical-location-aware fault redistribution for maximum IR-drop reduction
机译:
物理位置感知的故障重新分配,可最大程度地减少IR压降
作者:
Fu-Wei Chen
;
Shih-Liang Chen
;
Yung-Sheng Lin
;
TingTing Hwang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
25.
On the impact of gate oxide degradation on SRAM dynamic and static write-ability
机译:
关于栅极氧化物退化对SRAM动态和静态可写性的影响
作者:
Chandra V.
;
Aitken R.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
26.
A self-testing and calibration method for embedded successive approximation register ADC
机译:
嵌入式逐次逼近寄存器ADC的自测试和校准方法
作者:
Xuan-Lun Huang
;
Ping-Ying Kang
;
Hsiu-Ming Chang
;
Jiun-Lang Huang
;
Yung-Fa Chou
;
Yung-Pin Lee
;
Ding-Ming Kwai
;
Cheng-Wen Wu
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
ADC calibration;
ADC testing;
SoC testing;
mixed-signal testing;
successive approximation register (SAR) ADC;
27.
On-chip dynamic signal sequence slicing for efficient post-silicon debugging
机译:
片上动态信号序列分片,可进行高效的硅后调试
作者:
Yeonbok Lee
;
Matsumoto T.
;
Fujita M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
28.
FSM model abstraction for analog/mixed-signal circuits by learning from I/O trajectories
机译:
通过学习I / O轨迹来为模拟/混合信号电路提取FSM模型
作者:
Chenjie Gu
;
Roychowdhury J.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
29.
AVS-aware power-gate sizing for maximum performance and power efficiency of power-constrained processors
机译:
支持AVS的电源门选型可最大限度地提高功耗受限处理器的性能和电源效率
作者:
Sinkar A.
;
Nam Sung Kim
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
30.
Profile assisted online system-level performance and power estimation for dynamic reconfigurable embedded systems
机译:
动态可重配置嵌入式系统的配置文件辅助在线系统级性能和功率估计
作者:
Jingqing Mu
;
Lysecky R.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
31.
Battery-aware task scheduling in distributed mobile systems with lifetime constraint
机译:
具有寿命约束的分布式移动系统中的电池感知任务调度
作者:
Jiayin Li
;
Meikang Qiu
;
Jian-Wei Niu
;
Tianzhou Chen
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
Battery-aware;
battery behavior;
lifetime constraint;
task scheduling;
32.
Advanced system LSIs for home 3D system
机译:
家用3D系统的高级系统LSI
作者:
Suzuki T.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
33.
A gate-level pipelined 2.97GHz Self Synchronous FPGA in 65nm CMOS
机译:
65nm CMOS的门级流水线2.97GHz自同步FPGA
作者:
Devlin B.
;
Ikeda M.
;
Asada K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
34.
Development of low power and high performance application processor (T6G) for multimedia mobile applications
机译:
开发用于多媒体移动应用的低功耗高性能应用处理器(T6G)
作者:
Kitasho Y.
;
Kikuchi Y.
;
Shimazawa T.
;
Ohara Y.
;
Takahashi M.
;
Masubuchi Y.
;
Oowaki Y.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
35.
Design constraint of fine grain supply voltage control LSI
机译:
细粒供应电压控制LSI的设计约束
作者:
Inoue A.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
36.
FPGA prototyping using behavioral synthesis for improving video processing algorithm and FHD TV SoC design
机译:
使用行为综合的FPGA原型,以改善视频处理算法和FHD TV SoC设计
作者:
Takahashi M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
37.
An RTL-to-GDS2 design methodology for advanced system LSI
机译:
用于高级系统LSI的RTL-to-GDS2设计方法
作者:
Nishiguchi N.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
38.
A 4.32 mm
2
170mW LDPC decoder in 0.13μm CMOS for WiMax/Wi-Fi applications
机译:
用于WiMax / Wi-Fi应用的0.13μmCMOS的4.32 mm
2 sup> 170mW LDPC解码器
作者:
Dan Bao
;
Chuan Wu
;
Yan Ying
;
Yun Chen
;
Xiao Yang Zeng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
39.
High performance lithographic hotspot detection using hierarchically refined machine learning
机译:
使用分层改进的机器学习进行高性能光刻热点检测
作者:
Duo Ding
;
Torres A.J.
;
Pikus F.G.
;
Pan D.Z.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
40.
Rapid layout pattern classification
机译:
快速布局模式分类
作者:
Jen-Yi Wuu
;
Pikus F.G.
;
Torres A.
;
Marek-Sadowska M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
41.
Mask cost reduction with circuit performance consideration for self-aligned double patterning
机译:
考虑到自对准双重图案的电路性能,降低了掩模成本
作者:
Hongbo Zhang
;
Yuelin Du
;
Wong M.D.F.
;
Kai-Yuan Chao
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
42.
All-digital PMOS and NMOS process variability monitor utilizing buffer ring with pulse counter
机译:
利用带脉冲计数器的缓冲环的全数字式PMOS和NMOS工艺变化监测器
作者:
Jaehyun Jeong
;
Iizuka T.
;
Nakura T.
;
Ikeda M.
;
Asada K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
43.
Post-routing layer assignment for double patterning
机译:
路由后的图层分配用于双重图案
作者:
Jian Sun
;
Yinghai Lu
;
Hai Zhou
;
Xuan Zeng
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
44.
Fault simulation and test generation for clock delay faults
机译:
时钟延迟故障的故障仿真和测试生成
作者:
Higami Y.
;
Takahashi H.
;
Kobayashi S.-y.
;
Saluja K.K.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
45.
Compression-aware capture power reduction for at-speed testing
机译:
压缩感知捕获功率降低,用于全速测试
作者:
Jia Li
;
Qiang Xu
;
Dong Xiang
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
46.
Jitter amplifier for oscillator-based true random number generator
机译:
抖动放大器,用于基于振荡器的真随机数发生器
作者:
Amaki T.
;
Hashimoto M.
;
Onoye T.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
47.
An efficient algorithm of adjustable delay buffer insertion for clock skew minimization in multiple dynamic supply voltage designs
机译:
一种有效的可调延迟缓冲器插入算法,可在多种动态电源电压设计中最大程度地减小时钟偏斜
作者:
Kuan-Yu Lin
;
Hong-Ting Lin
;
Tsung-Yi Ho
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
48.
An integer programming placement approach to FPGA clock power reduction
机译:
减少FPGA时钟功耗的整数编程布局方法
作者:
Rakhshanfar A.
;
Anderson J.H.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
49.
A 65nm flip-flop array to measure soft error resiliency against high-energy neutron and alpha particles
机译:
65nm触发器阵列,用于测量对高能中子和α粒子的软错误恢复能力
作者:
Furuta J.
;
Hamanaka C.
;
Kobayashi K.
;
Onodera H.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
50.
Row-based area-array I/O design planning in concurrent chip-package design flow
机译:
并行芯片封装设计流程中基于行的区域阵列I / O设计规划
作者:
Ren-Jie Lee
;
Hung-Ming Chen
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
51.
A provably good approximation algorithm for Rectangle Escape Problem with application to PCB routing
机译:
矩形逃逸问题的一种证明良好的近似算法,应用于PCB布线
作者:
Qiang Ma
;
Hui Kong
;
Wong M.D.F.
;
Young E.F.Y.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
52.
Dual-phase pipeline circuit design automation with a built-in performance adjusting mechanism
机译:
内置性能调节机制的双相管线电路设计自动化
作者:
Yu-Tzu Tsai
;
Cheng-Chih Tsai
;
Cheng-An Chien
;
Ching-Hwa Cheng
;
Jiun-In Guo
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
domino circuit;
pipeline;
53.
Geyser-2: The second prototype CPU with fine-grained run-time power gating
机译:
Geyser-2:具有细粒度运行时电源门控的第二个原型CPU
作者:
Zhao L.
;
Ikebuchi D.
;
Saito Y.
;
Kamata M.
;
Seki N.
;
Kojima Y.
;
Amano H.
;
Koyama S.
;
Hashida T.
;
Umahashi Y.
;
Masuda D.
;
Usami K.
;
Kimura K.
;
Namiki M.
;
Takeda S.
;
Nakamura H.
;
Kondo M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
54.
An implementation of an asychronous FPGA based on LEDR/four-phase-dual-rail hybrid architecture
机译:
基于LIVER /四相双轨混合架构的异步FPGA的实现
作者:
Komatsu Y.
;
Ishihara S.
;
Hariyama M.
;
Kameyama M.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
55.
Design and chip implementation of a heterogeneous multi-core DSP
机译:
异构多核DSP的设计和芯片实现
作者:
Shuming Chen
;
Xiaowen Chen
;
Yi Xu
;
Jianghua Wan
;
Jianzhuang Lu
;
Xiangyuan Liu
;
Shenggang Chen
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
56.
A low-power management technique for high-performance domino circuits
机译:
高性能多米诺骨牌电路的低功耗管理技术
作者:
Yu-Tzu Tsai
;
Cheng-Chih Tsai
;
Cheng-An Chien
;
Ching-Hwa Cheng
;
Jiun-In Guo
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
关键词:
domino circuit;
power management;
57.
Design and evaluation of variable stages pipeline processor chip
机译:
可变级流水线处理器芯片的设计与评估
作者:
Nakabayashi T.
;
Sasaki T.
;
Ohno K.
;
Kondo T.
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
58.
TurboVG: A HW/SW co-designed multi-core OpenVG accelerator for vector graphics applications with embedded power profiler
机译:
TurboVG:HW / SW共同设计的多核OpenVG加速器,用于带有嵌入式功率分析器的矢量图形应用
作者:
Shuo-Hung Chen
;
Hsiao-Mei Lin
;
Ching-Chou Hsieh
;
Chih-Tsun Huang
;
Jing-Jia Liou
;
Yeh-Ching Chung
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
59.
Design and implementation of a high performance closed-loop MIMO communications with ultra low complexity handset
机译:
具有超低复杂度手机的高性能闭环MIMO通信的设计与实现
作者:
Yu-Han Yuan
;
Wei-Ming Chen
;
Hsi-Pin Ma
会议名称:
《2011 16th Asia and South Pacific Design Automation Conference》
|
2011年
意见反馈
回到顶部
回到首页