掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Emerging Lithographic Technologies VII
Emerging Lithographic Technologies VII
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
数字世界
电视技术
现代表面贴装资讯
吉林大学学报(信息科学版)
电源技术应用
光电技术应用
音响世界
无线电通信技术
电子机械工程
国外电子元器件
更多>>
相关外文期刊
International journal of power electronics
NET
International journal of wireless and mobile computing
Electronic Business Asia
International journal of systems,control and communications
IEEE Transactions on Power Electronics
電子情報通信学会誌
Wireless LAN
IEEE transactions on electronics packaging manufacturing
TVB Europe
更多>>
相关中文会议
2011年中国(南京)智能电网建设高峰论坛
第十五届全国电子陶瓷、陶瓷——金属封接会议暨2015年真空电子与专用金属材料分会和电子陶瓷年会
第二十三届全国化学与物理电源学术会议
中国通信学会信息通信网络技术委员会2005年年会
卫星通信广播电视系统抗干扰技术研讨会
第十二届保密通信与信息安全现状研讨会
中国国际广播影视发展论坛暨第二十三届中国国际广播电视信息网络展览会
2006年中国国际广播电视信息网络展览会CCBN2006
中国电子学会光电线缆学术交流会
中国电子学会电子系统工程分会第四届年会
更多>>
相关外文会议
Laser beam shaping XVII
Conference on Solid State Lasers and Amplifiers; 20040427-20040429; Strasbourg; FR
Terahertz, RF, millimeter, and submillimeter-wave technology and applications VI
Conference on Soft X-Ray Lasers and Applications V; Aug 6-7, 2003; San Diego, California, USA
Design, Test, Integration, and Packaging of MEMS/MOEMS
2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon
Global Mobile Congress 2009
Fiber Networks for Telephony and CATV
IFIP TC10/WG10.5 Eleventh International Conference on Very Large Scale Integration of Systems-on-Chip (VLSI-SOC'01) Dec 3-5, 2001 Montpellier, France
Proceedings of the ACM international conference of the great lakes symposium on VLSI
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
ATOMIC HYDROGEN CLEANING OF EUV MULTILAYER OPTICS
机译:
EUV多层光学器件的原子氢清洗
作者:
Samuel Graham
;
Charles Steinhaus
;
Miles Clift
;
Lennie Klebanoff
;
Sasa Bajt
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
atomic hydrogen;
EUV optics;
carbon contamination;
reflectivity;
2.
The EUV Program at ASML: an update
机译:
ASML的EUV计划:更新
作者:
Hans Meiling
;
Vadim Banine
;
Peter Kuerz
;
Brian Blum
;
Gert Jan Heerens
;
Noreen Harned
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
3.
The End of the Semiconductor Industry as We Know It
机译:
我们所知道的半导体行业的终结
作者:
Chris A. Mack
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
moore's law;
learning curve;
4.
Static EUV microexposures using the ETS Set-2 optics
机译:
使用ETS Set-2光学元件的静态EUV微曝光
作者:
Patrick Naullcau
;
Kenneth A. Goldberg
;
Erik H. Anderson
;
Jeffrey Bokor
;
Bruce Harteneck
;
Keith Jackson
;
Deirdre Olynick
;
Farhad Salmassi
;
Sherry Baker
;
Paul Mirkarimi
;
Eberhard Spiller
;
Chris Walton
;
Donna OConnell
;
Pei-Yang Yan
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
synchrotron radiation;
microfield printing;
5.
Star Pinch Scalable EUV Source
机译:
星捏可扩展EUV源
作者:
Malcolm W. McGeoch
;
Charles T. Pike
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme;
ultraviolet;
source;
star;
pinch;
xenon;
scalable;
6.
Rigorous EUV Mask Simulator Using 2D and 3D Waveguide Methods
机译:
使用2D和3D波导方法的严格EUV掩模模拟器
作者:
Zhengrong Zhu
;
K.Lucas
;
J.L.Cobb
;
Scott D.Hector
;
A.J. Strojwas
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
waveguide model;
EM simulation;
EUV mask;
contact holes;
bossung tilt;
7.
Reactive Polymers ― a Route to Nanoimprint Lithography at Low Temperatures
机译:
反应性聚合物-低温下纳米压印光刻的方法
作者:
Karl Pfeiffer
;
Freimut Reuther
;
Patrick Carlberg
;
Marion Fink
;
Gabi Gruetzner
;
Lars Montelius
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
nanoimprint lithography;
cross-linked polymers;
chemically amplified resist;
low temperature;
8.
Relation between Electron- and Photon-Caused Oxidation in EUVL Optics
机译:
EUVL光学中电子和光子引起的氧化之间的关系
作者:
Michael E. Malinowski
;
Charles A. Steinhaus
;
Donald E. Meeker
;
W. Miles Clift
;
Leonard E. Klebanoff
;
Sasa Bajt
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
multilayer mirror;
extreme ultraviolet;
electrons;
oxidation;
photoelectrons;
ETS;
9.
Novel electron optics for large sub-field electron beam projection lithography (EPL)
机译:
适用于大子场电子束投影光刻(EPL)的新型电子光学器件
作者:
Saori Fukui
;
Hiroyasu Shimizu
;
Weiming Ren
;
Shohei Suzuki
;
Kazuya Okamoto
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
10.
Advanced patterning studies using shaped E-Beam lithography for 65 nm CMOS pre-production
机译:
使用成形电子束光刻技术进行高级图案研究,用于65 nm CMOS的预生产
作者:
L. Pain
;
M. Charpin
;
Y. Laplanche
;
D. Herisson
;
J. Todeschini
;
R. Palla
;
A. Beverina
;
H. Leininger
;
S. Tourniol
;
M. Broekaart
;
E. Luce
;
F. Judong
;
K. Brosselin
;
Y. Le Friec
;
F. Leverd
;
S. Del Medico
;
V. De Jonghe
;
D. Henry
;
M. Woo
;
F. Arnaud
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
E-Beam;
lithography;
photoresist;
device;
11.
Use of tin as a plasma source material for high conversion efficiency Toshihisa TOMIE
机译:
使用锡作为等离子体源材料可实现高转换效率Toshihisa TOMIE
作者:
T. Aota
;
Y.Ueno
;
G.Niimi
;
H.Yashiro
;
J.Q.Lin
;
I.Matsushima
;
K.Komiyama
;
D.H.Lee
;
K. Nishigori
;
H. Yokota
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
debris-free tin plasma;
cavity-confinement;
spectral efficiency;
6 conversion efficiency;
stopping of a plasma;
magnetic field;
12.
Rates and Mechanisms of Optic Contamination in the EUV Engineering Test Stand
机译:
EUV工程测试台中的光学污染发生率和机理
作者:
P. A. Grunow
;
L. E. Klebanoff
;
S. Graham
;
Jr.
;
S. J. Haney
;
W. M. Clift
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
optics;
contamination;
erosion;
oxidation;
EUV;
lithography;
ETS;
13.
Measuring and tailoring CTE within ULE~(~R)Glass
机译:
在ULE〜(〜R)玻璃中测量和定制CTE
作者:
Kenneth E. Hrdina
;
Bradford G. Ackerman
;
Andrew W. Fanning
;
Christine E. Heckle
;
David C. Jenne
;
W. David Navan
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
ULE;
optics;
photomasks;
CTE;
homogeneity;
thermal expansion;
metrology;
interferometer;
cross-over temperature;
EUVL;
14.
Masked Ion Beam Lithography and Direct-Structuring on Curved Surfaces
机译:
掩膜离子束光刻和曲面直接构造
作者:
Hans Loeschner
;
Herbert Buschbeck
;
Martin Ecker
;
Christoph Horner
;
Elmar Platzgummer
;
Gerhard Stengl
;
Michaela Zeininger
;
Paul Ruchhoeft
;
John C. Wolfe
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
ion beam lithography;
stencil mask;
large-field particle optics;
direct-structuring;
15.
Metrology tools for EUVL-source characterization and optimization
机译:
用于EUVL源表征和优化的计量工具
作者:
Max Christian Schuermann
;
Thomas Missalla
;
Klaus Mann
;
Sebastian Kranzusch
;
Roman Markus Klein
;
Frank Scholze
;
Gerhard Ulm
;
Rainer Lebert
;
Larissa Juschkin
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
metrology tools;
absolute flux;
spectral distribution;
imaging detectors;
debris;
16.
Asymmetry and thickness effects in reflective EUV masks
机译:
反射式EUV掩模中的不对称性和厚度效应
作者:
Frederick T. Chen
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme-ultraviolet lithography;
image placement;
mask;
antireflection layer;
17.
Impact of vacuum environment on the hot embossing process
机译:
真空环境对热压花工艺的影响
作者:
Nils Roos
;
Matthias Wissen
;
Thomas Glinsner
;
Hella-C. Scheer
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
hot embossing lithography;
wafer-scale imprint;
vacuum embossing;
18.
IMPLEMENTING FLARE COMPENSATION FOR EUV MASKS THROUGH LOCALIZED MASK CD RESIZING
机译:
通过局部化蒙版CD大小实现EUV蒙版的耀斑补偿
作者:
Christof Krautschik
;
Manish Chandhok
;
Guojing Zhang
;
Sang Lee
;
Michael Goldstein
;
Eric Panning
;
Bryan Rice
;
Robert Bristol
;
Vivek Singh
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
light scatter;
flare;
CD control;
mask density change;
CD compensation;
convolution;
flare point-spread function (PSF);
adaptive grid;
engineering test stand;
19.
Experimental evaluation of stopping power of high-energy ions from a laser-produced plasma by a magnetic field
机译:
磁场对激光产生的等离子体中高能离子的阻止能力的实验评估
作者:
Gohta Niimi
;
Yoshifumi Ueno
;
Kentaro Nishigori
;
Tatsuya Aota
;
Hidehiko Yashiro
;
Toshihisa Tomie
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
20.
Efficient Simulation of Light Diffraction from 3-Dimensional EUV-Masks using Field Decomposition Techniques
机译:
使用场分解技术对三维EUV面罩的光衍射进行高效模拟
作者:
Andreas Erdmann
;
Christian Kalus
;
Thomas Schmoeller
;
Andreas Wolter
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
lithography modeling;
EUV-masks;
field decomposition techniques;
EUV-imaging artifacts;
21.
EPL Stencil Mask Defect Inspection System Using a Transmission Electron Beam
机译:
使用透射电子束的EPL模板掩膜缺陷检查系统
作者:
Jiro Yamamoto
;
Teruo Iwasaki
;
Masaki Yamabe
;
Norimichi Anazawa
;
Satoru Maruyama
;
Kiyoaki Tsuta
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
mask inspection system;
transmission electron beam;
electron projection lithography,;
65 nm and beyond design rule;
stage scanning;
stencil patterns;
multi-line TDI-CCD;
50-nm pixel size;
high throughput;
22.
Development of radiation-magnetohydrodynamic computer modeling of gas-discharge EUV sources for microlithography
机译:
用于微光刻的气体放电EUV源的辐射-磁流体力学计算机建模的开发
作者:
Bruno S. Bauer
;
Andrey Esaulov
;
Volodymyr Makhin
;
Roberto C. Mancini
;
Ioana Paraschiv
;
Radu Presura
;
Irvin R. Lindemuth
;
Peter T. Sheehey
;
Bryan J. Rice
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
MHD simulation;
EUV radiative source;
atomic kinetics and radiation model;
dense plasma focus;
23.
Development of illumination optics and projection optics for high-NA EUV exposure tool (HiNA)
机译:
用于高NA EUV曝光工具(HiNA)的照明光学和投影光学的开发
作者:
T. Oshino
;
M. Shiraishi
;
N. Kandaka
;
K. Sugisaki
;
H. Kondo
;
K. Ota
;
K. Mashima
;
K. Murakami
;
H. Oizumi
;
I. Nishiyama
;
S. Okazaki
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
illumination optics;
fly's-eye element;
projection optics;
aspheric mirrors;
wavefront error;
24.
Effect of Polymer Molecular Weight on AFM Polymer Aggregate Size and LER of EUV Resists
机译:
聚合物分子量对AFM聚合物总尺寸和EUV抵抗剂LER的影响
作者:
Charlotte A. Cutler
;
Joseph F. Mackevich
;
Jieming Li
;
Donna J. OConnell
;
Greg Cardinale
;
Robert L. Brainard
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV resists;
LER;
AFM;
polymer molecular weight;
polymer aggregates;
25.
Development of an ultra-high precision X-ray telescope with an adaptive optics system
机译:
开发具有自适应光学系统的超高精度X射线望远镜
作者:
Shunji Kitamoto
;
Haruko Takano
;
Harue Saitoh
;
Norimasa Yamamoto
;
Takayoshi Kohmura
;
Kazuharu Suga
;
Hiroyuki Sekiguchi
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV;
telescope;
adaptive optics;
Zr filter;
multilayers;
26.
Mo/Si multilayers deposited by low-pressure rotary magnet cathode sputtering for extreme ultraviolet lithography
机译:
低压旋转磁体阴极溅射沉积的Mo / Si多层膜,用于极端紫外光刻
作者:
M. Shiraishi
;
N. Kandaka
;
K. Murakami
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
Mo/Si multilayer;
sputtering;
rotary magnet cathode;
xenon gas;
extreme ultraviolet;
extreme ultraviolet lithography;
27.
Lithographic Characterization of Improved Projection Optics in the EUVL Engineering Test Stand
机译:
EUVL工程测试台中改进的投影光学的光刻特征
作者:
Donna J. OConnell
;
Sang H. Lee
;
William P. Ballard
;
Daniel A. Tichenor
;
Luis J. Bernardez II
;
Steven J. Haney
;
Terry A. Johnson
;
Pamela K. Barr
;
Alvin H. Leung
;
Karen L. Jefferson
;
William C. Replogle
;
John E.M. Goldsmith
;
Henry N. Chapman
;
Patrick Naul
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUVL;
extreme ultraviolet;
lithography;
projection optics box;
aerial image contrast;
flare;
laser-produced plasma;
28.
High Power EUV Lithography Sources Based on Gas Discharges and Laser Produced Plasmas
机译:
基于气体放电和激光产生等离子体的高功率EUV光刻源
作者:
U. Stamm
;
I. Ahmad
;
I. Balogh
;
H. Birner
;
D. Bolshukhin
;
J. Brudermann
;
S. Enke
;
F. Flohrer
;
K. Gaebel
;
S. Goetze
;
G. Hergenhan
;
J. Kleinschmidt
;
D. Kloepfel
;
V. Korobotchko
;
J. Ringling
;
G. Schriever
;
C. D. Tran
;
C. Ziener
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV sources;
laser produced plasma;
gas discharge produced plasma;
Z-pinch;
EUV lithography;
29.
Design and performance of capping layers for EUV multilayer mirrors
机译:
EUV多层镜的覆盖层的设计和性能
作者:
Sasa Bajt
;
Henry N. Chapman
;
Nhan Nguyen
;
Jennifer Alameda
;
Jeffrey C. Robinson
;
Michael Malinowski
;
Eric Gullikson
;
Andy Aquila
;
Charles Tarrio
;
Steven Grantham
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet (EUV) lithography;
multilayers;
optics;
capping layer;
ruthenium;
oxidation resistance;
reflectivity;
30.
Understanding particle defect transport in an ultra-clean sputter coating process
机译:
了解超清洁溅射镀膜工艺中的颗粒缺陷传输
作者:
Chris Walton
;
Patrick Kearney
;
James Folta
;
Donald Sweeney
;
Paul Mirkarimi
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUVL;
EUVL mask;
defect;
multilayer;
coating;
particle;
particle transport;
particle adhesion;
modeling;
31.
The estimated impact of shot noise in Extreme Ultraviolet Lithography
机译:
极紫外光刻技术中散粒噪声的估计影响
作者:
Jonathan Cobb
;
Frances Houle
;
Gregg Gallatin
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
shot noise;
contact holes;
photolithography simulation;
32.
System and Process Learning in a Full-Field, High-Power EUVL Alpha Tool
机译:
全场大功率EUVL Alpha工具中的系统和过程学习
作者:
William P. Ballard
;
Daniel A. Tichenor
;
Donna J. OConnell
;
Luis J. Bernardez II
;
Robert E. Lafon
;
Richard J. Anderson
;
Alvin H. Leung
;
Kenneth Williams
;
Steven J. Haney
;
Yon Perras
;
Karen L. Jefferson
;
Therese L. Porter
;
Daniel Knight
;
Pamela K. Barr
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUVL;
extreme ultraviolet;
lithography;
optical fabrication;
optical design;
laser-produced plasma;
laser plasma source;
maglev;
magnetic levitation;
precision engineering;
33.
Software tool for advanced Monte Carlo simulation of electron scattering in EBL and SEM: CHARIOT
机译:
用于EBL和SEM中电子散射的高级蒙特卡罗模拟的软件工具:CHARIOT
作者:
S. Babin
;
S. Borisov
;
E. Cheremukhin
;
E. Grachev
;
V. Korol
;
L. Ocola
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
monte carlo;
absorbed energy;
electron beam lithography;
scanning electron microscopy;
34.
Spectral reflectance tuning of EUV mirrors for metrology applications
机译:
用于计量应用的EUV镜的光谱反射率调整
作者:
Sergiy Yulin
;
Thomas Kuhlmann
;
Torsten Feigl
;
Norbert Kaiser
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV;
Mo/Si;
broadband mirror;
narrowband mirror;
coating designs;
metrology;
plasma source;
35.
Scanning Probe Lithography
机译:
扫描探针光刻
作者:
Dorjderem Nyamjav
;
Albena Ivanisevic
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
atomic force microscopy;
nanolithography;
polymers;
36.
Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging
机译:
严格的EM模拟,掩模图案结构对EUVL成像的影响
作者:
Yunfei Deng
;
Bruno La Fontaine
;
Harry J. Levinson
;
Andrew R. Neureuther
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV;
mask patterning;
absorber stack;
etch;
multilayer;
oblique incidence;
phase edge;
interference;
37.
Reduction of image placement errors in EPL masks
机译:
减少EPL遮罩中的图像放置错误
作者:
Obert R. Wood II
;
Phillip L. Reu
;
Roxann L. Engelstad
;
Edward G. Lovell
;
Michael J. Lercel
;
Carey W. Thiel
;
Mark S. Lawliss
;
R. Scott Mackay
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron projection lithography;
EPL stencil masks;
pattern transfer distortions;
finite element analysis;
38.
Process for Improved Reflectivity Uniformity in Extreme Ultraviolet Lithography (EUVL) Masks
机译:
极紫外光刻(EUVL)掩模中改善反射率均匀性的工艺
作者:
Carey Thiel
;
Ken Racette
;
Emily Fisch
;
Mark Lawliss
;
Louis Kindt
;
Chester Huang
;
Robin Ackel
;
Max Levy
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
NGL;
EUVL;
chromium;
tantalum nitride;
absorber;
buffer;
etch;
45nm;
39.
Physical Properties of the HCT EUV Source
机译:
HCT EUV源的物理特性
作者:
Joseph Pankert
;
Klaus Bergmann
;
Juergen Klein
;
Willi Neff
;
Oliver Rosier
;
Stefan Seiwert
;
Christopher Smith
;
Sven Probst
;
Dominik Vaudrevange
;
Guido Siemons
;
Rolf Apetz
;
Jeroen Jonkers
;
Michael Loeken
;
Eric Bosch
;
Guenther Derra
;
Thomas Kruecken
;
Peter Z
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV source;
gas discharge plasma;
EUV lithography;
40.
Nikon EB stepper: its system design and preliminary performance
机译:
尼康EB步进器:其系统设计和初步性能
作者:
Noriyuki Hirayanagi
;
Tomoharu Fujiwara
;
Kazunari Hada
;
Toshimasa Shimoda
;
Kazuaki Suzuki
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron projection lithography;
EB stepper;
EPL reticle;
stencil reticle;
synchronization control;
stitching;
dynamic exposure;
dynamic resolution;
41.
Optical analysis of mirror based pattern generation
机译:
基于镜像的图案生成的光学分析
作者:
Yashesh Shroff
;
Yijian Chen
;
W. G. Oldham
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
42.
New approach to measurement of photoactive deep UV optics contaminants at sub parts-per-trillion levels
机译:
测量万亿分之一级以下光敏性深紫外光学器件污染物的新方法
作者:
Chris Atkinson
;
Jeff Hanson
;
Oleg Kishkovich
;
Michael Alexander
;
Anatoly Grayfer
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
contamination;
lithography;
semiconductor;
manufacturing;
detection;
193nm;
"condensable organics," "DUV (deep ultraviolet)," optics;
exposure tools;
43.
The effects of radiation induced carbon contamination on the performance of an EUV lithographic optic
机译:
辐射引起的碳污染对EUV光刻光学器件性能的影响
作者:
Anton Barty
;
Kenneth A. Goldberg
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
44.
MxL: Pseudo-Maskless, High-Throughput Nanolithography
机译:
MxL:假面罩,高通量纳米光刻
作者:
Charles D. Schaper
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
nanolithography;
maskless lithography;
imprint lithography;
soft lithography;
45.
Multi-component EUV multilayer mirrors
机译:
多分量EUV多层镜
作者:
Stefan Braun
;
Thomas Foltyn
;
Ludwig van Loyen
;
Matthew Moss
;
Andreas Leson
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
Mo;
Si;
C;
Ag;
Ru;
B_4C;
multilayer;
barrier;
EUV;
X-ray;
mirror;
optics;
46.
Lithographic flare measurements of EUV full-field projection optics
机译:
EUV全场投影光学系统的平版光斑测量
作者:
Sang H. Lee
;
Patrick Naulleau
;
Chris Krautschik
;
Manish Chandhok
;
Henry N. Chapman
;
Donna J. OConnell
;
Michael Goldstein
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
flare;
kirk method;
scattered point spread function (PSF);
power spectral density;
H-V flare bias;
47.
Development of an experimental EUV interferometer for benchmarking several EUV wavefront metrology schemes
机译:
开发用于对几种EUV波前计量方案进行基准测试的EUV干涉仪
作者:
Katsuhiko Murakami
;
Jun Saito
;
Kazuya Ota
;
Hiroyuki Kondo
;
Mikihiko Ishii
;
Jun Kawakami
;
Tetsuya Oshino
;
Katsumi Sugisaki
;
Yucong Zhu
;
Masanobu Hasegawa
;
Yoshiyuki Sekine
;
Seiji Takeuchi
;
Chidane Ouchi
;
Osamu Kakuchi
;
Yutaka Watanabe
;
Takayuki Hasegawa
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
wavefront metrology;
EUV interferometer;
PDI;
shearing interferometer;
undulator;
48.
Design and Performance of a Step and Repeat Imprinting Machine
机译:
分步重复压印机的设计与性能
作者:
Ian McMackin
;
Philip Schumaker
;
Daniel Babbs
;
Jin Choi
;
Wenli Collison
;
S.V. Sreenivasan
;
Norman Schumaker
;
Michael Watts
;
Ronald Voisin
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
49.
Compact Laser Plasma EUV Source based on a Gas Puff Target for Metrology
机译:
紧凑型激光等离子EUV光源,基于用于测量的气嘴靶
作者:
Henryk Fiedorowicz
;
Andrzej Bartnik
;
Roman Jarocki
;
Jerzy Kostecki
;
Janusz Mikolajczyk
;
Rafal Rakowski
;
Miroslaw Szczurek
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
laser plasmas;
laser plasma EUV sources;
gas puff target;
lithography;
50.
Candidate Plasma-Facing Materials for EUV Lithography Source Components
机译:
EUV光刻源组件的面向等离子的候选材料
作者:
A. Hassanein
;
T. Burtseva
;
J. N. Brooks
;
I. Konkashbaev
;
B. Rice
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV source;
plasma-facing components;
thermal shock resistance;
debris reduction;
51.
Characterization of the PTB EUV reflectometry facility for large EUVL optical components
机译:
用于大型EUVL光学组件的PTB EUV反射仪功能的表征
作者:
Johannes Tuemmler
;
Heike Blume
;
Guido Brandt
;
Jens Eden
;
Bernd Meyer
;
Hartmut Scherr
;
Frank Scholz
;
Frank Scholze
;
Gerhard Ulm
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet;
metrology;
lithography;
at-wavelength characterization;
reflectometry;
synchrotron radiation;
52.
Analysis of critical dimension uniformity for step and flash imprint lithography
机译:
阶跃和闪光压印光刻的临界尺寸均匀性分析
作者:
David P. Mancini
;
Kathleen A. Gehoski
;
William J. Dauksher
;
Kevin J. Nordquist
;
Douglas J. Resnick
;
Philip Schumaker
;
Ian McMackin
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
step and flash imprint lithography;
SFIL;
uniformity;
template;
across-chip linewidth variation (ACLV);
53.
Advances in Step and Flash Imprint Lithography
机译:
步进和闪光压印光刻技术的进展
作者:
S.C. Johnson
;
T.C. Bailey
;
M.D. Dickey
;
B.J. Smith
;
E.K. Kim
;
A.T. Jamieson
;
N.A. Stacey
;
J.G. Ekerdt
;
C.G. Willson
;
D.P. Mancini
;
W.J. Dauksher
;
K.J. Nordquist
;
D.J. Resnick
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
54.
Improved Materials meeting the demands for EUV Substrates
机译:
改良材料满足对EUV基板的需求
作者:
Ina Mitra
;
Jochen Alkemper
;
Uwe Nolte
;
Axel Engel
;
Rolf Mueller
;
Simone Ritter
;
Hrabanus Hack
;
Klaus Megges
;
Heiko Kohlmann
;
Wolfgang Pannhorst
;
Mark J. Davis
;
Lutz Aschke
;
Konrad Knapp
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUVL;
substrate;
zerodur~(~R);
glass-ceramics;
thermal expansion;
CTE homogeneity;
surface roughness;
55.
Imprint Lithography: Lab Curiosity or the Real NGL?
机译:
压印光刻:实验室好奇心还是真正的NGL?
作者:
D. J. Resnick
;
W. J. Dauksher
;
D. Mancini
;
K. J. Nordquist
;
T. C. Bailey
;
S. Johnson
;
N. Stacey
;
J. G. Ekerdt
;
C. G. Willson
;
S. V. Sreenivasan
;
N. Schumaker
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
step;
flash;
imprint;
lithography;
NIL;
UV-NIL;
56.
Electron Beam Lithography Method for Sub-50 nm Isolated Trench With High Aspect Ratio
机译:
高纵横比低于50 nm的孤立沟槽的电子束光刻方法
作者:
XiaoMin Yang
;
Andrew R. Eckert
;
Keith Mountfield
;
Harold Gentile
;
Carl Seiler
;
Stanko Brankovic
;
Robert Harris
;
Earl Johns
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
electron beam lithography;
resist residual;
proximity effect;
data storage;
thin film heads;
Electroplating;
57.
EUV Interferometry of the 0.3 NA MET Optic
机译:
0.3 NA MET光学的EUV干涉测量
作者:
Kenneth A. Goldberg
;
Patrick Naulleau
;
Paul Denham
;
Senajith B. Rekawa
;
Keith Jackson
;
Erik H. Anderson
;
J. Alexander Liddle
;
Jeffrey Bokor
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
interferometry;
extreme ultraviolet lithography;
EUV;
at-wavelength testing;
MET;
58.
EUV time resolved studies on carbon growth and cleaning
机译:
EUV时间解析的碳增长和清洁研究
作者:
B. Mertens
;
B. Wolschrijn
;
R. Jansen
;
N. Koster
;
M. Weiss
;
M. Wedowski
;
R. Klein
;
T. Bock
;
R. Thornagel
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
EUV lithography;
optics life time;
contamination;
optics cleaning;
59.
Mix Match Capability of E-Beam Direct Write For the 65 nm Technology
机译:
65纳米技术的电子束直接写入的混合和匹配能力
作者:
Y. LAPLANCHE
;
M. CHARPIN
;
L. PAIN
;
J. TODESCHINI
;
D. HENRY
;
P-O. SASSOULAS
;
S. GOUGH
;
U. WEIDENMUELLER
;
P. HAHMANN
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
mix and match;
overlay;
E-Beam direct write;
60.
Design and Method of Fabricating Phase Shift Masks for Extreme Ultraviolet Lithography by Partial Etching into the EUV Multilayer Mirror
机译:
通过部分蚀刻到EUV多层镜中制造极紫外光刻的相移掩模的设计和方法
作者:
Sang-In Han
;
Eric Weisbrod
;
Qianghua Xie
;
Pawitter. J. S. Mangat
;
Scott D. Hector
;
William J. Dauksher
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
masks;
phase shift masks;
attenuated phase shift masks;
hard phase shift masks;
61.
Defect repair for extreme ultraviolet lithography (EUVL) mask blanks
机译:
极紫外光刻(EUVL)面罩毛坯的缺陷修复
作者:
Stefan P. Hau-Riege
;
Anton Barty
;
Paul B. Mirkarimi
;
Daniel G. Stearns
;
Henry Chapman
;
Don Sweeney
;
Miles Clift
;
Eric Gullikson
;
Moon-Suk Yi
会议名称:
《Emerging Lithographic Technologies VII》
|
2003年
关键词:
extreme ultraviolet lithography;
mask blanks;
defect repair;
意见反馈
回到顶部
回到首页