掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Metrology, Inspection, and Process Control for Microlithography
Metrology, Inspection, and Process Control for Microlithography
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Silicon Fin Line Edge Roughness Determination and Sensitivity Analysis by Mueller Matrix Spectroscopic Ellipsometry based Scatterometry
机译:
硅翅片线边缘粗糙度粗糙度测定和敏感性分析壳体基质光谱椭圆椭圆形散射测定法
作者:
Dhairya Dixit
;
Samuel OMullane
;
Sravan Sunkoju
;
Erik R. Hosler
;
Vimal Kamineni
;
Moshe Preil
;
Nick Keller
;
Joseph Race
;
Gangadhara Raja Muthinti
;
Alain C. Diebold
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
LER;
LWR;
Mueller matrix ellipsometry;
Scatterometry;
Optical CD metrology;
DSA Si fins;
2.
The analysis method of the DRAM Cell Pattern Hotspot
机译:
DRAM细胞模式热点的分析方法
作者:
Kyusun Lee
;
Kweonjae Lee
;
Jinman Chang
;
Taeheon Kim
;
Daehan Han
;
Aeran Hong
;
Yonghyeon Kim
;
Jinyoung Kang
;
Bumjin Choi
;
Joosung Lee
;
Jooyoung Lee
;
Hyeongsun Hong
;
Kyupil Lee
;
Gyoyoung Jin
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
DPT;
Cell;
Hotspot;
DCGB;
DRAM;
layout;
NGR;
Metrology;
E-beam;
Composite;
3.
Overlay metrology solutions in a triple patterning scheme
机译:
三重Patterning方案中的覆盖计量解决方案
作者:
Philippe Leray
;
Ming Mao
;
Bart Baudemprez
;
Nuriel Amir
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Multilayer;
IBO;
accuracy;
process robustness;
segmentation;
4.
Optimizing Hybrid Metrology: Rigorous Implementation of Bayesian and Combined Regression
机译:
优化杂交计量:严格实施贝叶斯和综合回归
作者:
Mark-Alexander Henn
;
Richard M. Silver
;
John S. Villarrubia
;
Nien Fan Zhang
;
Hui Zhou
;
Bryan M. Barnes
;
Bin Ming
;
Andras E. Vladar
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
hybrid metrology;
electromagnetic simulation;
sensitivity and uncertainty evaluation;
Bayesian data analysis;
5.
High-throughput automatic defect review for 300mm blank wafers with atomic force microscope
机译:
用原子力显微镜300mm空白晶片的高通量自动缺陷综述
作者:
Ardavan Zandiatashbar
;
Byong Kim
;
Young-kook Yoo
;
Keibock Lee
;
Ahjin Jo
;
Ju Suk Lee
;
Sang-Joon Cho
;
Sang-il Park
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Atomic force microscopy;
defect review;
defect classification;
defect inspection;
non-contact mode;
failure analysis;
automated AFM;
metrology;
6.
Data refinement for robust solution to the inverse problem in optical scatterometry
机译:
用于光散射测定法逆问题的鲁棒解决方案的数据细化
作者:
Jinlong Zhu
;
Hao Jiang
;
Chuanwei Zhang
;
Xiuguo Chen
;
Shiyuan Liu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
optical scatterometry;
Mueller matrix ellipsometry;
inverse problem;
data refinement;
least trimmed squared estimator;
7.
Qmerit-Calibrated Overlay to Improve Overlay Accuracy and Device Performance
机译:
Qmerit-Calible覆盖以提高叠加精度和设备性能
作者:
Zakir Ullah
;
Mohamed Fazly Mohamed Jazim
;
Stella Sim
;
Alan Lim
;
Biow Hiem
;
Lieu Chia Chuen
;
Jesline Ang
;
Ek Chow Lim
;
Dana Klein
;
Eran Amit
;
Roie Volkovitch
;
David Tien
;
Dong Sub Choi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Accuracy;
Asymmetry;
Metrology;
TMU;
Target;
ASC;
Qmerit;
OBO;
IBO;
HVM;
8.
Intra-field On-Product Overlay improvement by application of RegC and TWINSCAN corrections
机译:
通过应用REGC和TWINSCAN校正,现场内产品覆盖改善
作者:
Ofir Sharoni
;
Vladimir Dmitriev
;
Erez Graitzer
;
Yuval Perets
;
Kujan Gorhad
;
Richard van Haren
;
Hakki Ergun Cekli
;
Jan Mulkens
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Registration Error;
Overlay;
Reticle;
Mask;
LELE;
RegC;
LIS;
Fingerprint Correction;
9.
Simulating Massively Parallel Electron Beam Inspection for sub-20 nm Defects
机译:
模拟亚20 nm缺陷的大型平行电子束检验
作者:
Benjamin D. Bunday
;
Maseeh Mukhtar
;
Kathy Quoi
;
Brad Thiel
;
Matt Malloy
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
JMONSEL;
defect detection;
multi-column;
electron-beam defect inspection;
EBI;
SEM;
particle inspection;
massively parallel;
10.
Overlay Improvement Using Legendre/Zernike Model-Based Overlay Corrections and Monitoring with Interpolated Metric
机译:
使用基于Legendre / Zernike模型的覆盖校正和监控具有内插指标的覆盖改进
作者:
Zakir Ullah
;
Rajanish Javvaji
;
Alan Lim
;
Lieu Chia Chuen
;
Boris Habets
;
Stefan Buhl
;
Georg Erley
;
Steven Tottewitz
;
Enrico Bellmann
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
High Order;
Orthogonal;
HVM;
Zernike;
Legendre;
Correlation;
OVALiS;
Transformation Matrix;
Metric;
Over-correction;
Under-determinedness;
11.
High-speed AFM for 1x node metrology and inspection: Does it damage the features?
机译:
1x节点计量和检查的高速AFM:它是否会损坏功能?
作者:
Hamed Sadeghian
;
Teun C. van den Dool
;
Yoram Uziel
;
Ron Bar Or
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
AFM;
atomic force microscope;
cantilever;
tip sample interaction;
wafer;
resist;
damage;
metrology;
inspection;
12.
Further advancing the throughput of a multi-beam SEM
机译:
进一步推进多梁SEM的吞吐量
作者:
Thomas Kemen
;
Matt Malloy
;
Brad Thiel
;
Shawn Mikula
;
Winfried Denk
;
Gregor Dellemann
;
Dirk Zeidler
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Multi-beam;
SEM;
high speed imaging;
beam splitter;
13.
Potential Application of Tip-Enhanced Raman Spectroscopy (TERS) in Semiconductor Manufacturing
机译:
尖端增强拉曼光谱(TERS)在半导体制造中的潜在应用
作者:
P.Y. Hung
;
Thomas E. OLoughlin
;
Aaron Lewis
;
Rimma Dechter
;
Martin Samayoa
;
Sarbajit Banerjee
;
Erin L. Wood
;
Angela R. Hight Walker
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
14.
Effect of wafer geometry on lithography chucking processes
机译:
晶圆几何对光刻夹持过程的影响
作者:
Kevin T. Turner
;
Jaydeep K. Sinha
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
chucking;
wafer geometry;
residual stress;
15.
The development and advantages of helium ion microscopy for the study of block copolymer nanopatterns
机译:
氦离子显微镜对嵌段共聚特纳米模式研究的发展及优点
作者:
Alan P. Bell
;
Ramsankar Senthamaraikannan
;
Tandra Ghoshal
;
Atul Chaudhari
;
Matt T. Shaw
;
Michael Leeson
;
Mick A. Morris
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Block Copolymer;
Metrology;
Helium Ion Microscopy;
Directed Self-Assembly;
Resolution;
CD;
SEM;
AFM;
16.
CD Uniformity Improvement of Dense Contact Array in Negative Tone Development Process
机译:
阴性开发过程中密集接触阵列的CD均匀性改进
作者:
Fengnien Tsai
;
Teng-hao Yeh
;
C. C. Yang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
pattern density;
CDU;
NTD;
chemical flare;
optical flare;
17.
Influence of the process-induced asymmetry on the accuracy of overlay measurements
机译:
过程诱导的不对称对覆盖测量精度的影响
作者:
Tetyana Shapoval
;
Bernd Schulz
;
Tal Itzkovich
;
Sean Durran
;
Ronny Haupt
;
Agostino Cangiano
;
Barak Bringoltz
;
Matthias Ruhm
;
Eric Cotte
;
Rolf Seltmann
;
Tino Hertzsch
;
Eitan Hajaj
;
Carsten Hartig
;
Boris Efraty
;
Daniel Fischer
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Target design;
Accuracy;
Qmerit;
Metrology;
TMU;
Asymmetry;
18.
Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography
机译:
碳化二氧化碳气体净化和前缘193nm光刻的分析测量
作者:
Sarah Riddle Vogt
;
Cristian Landoni
;
Chuck Applegarth
;
Matt Browning
;
Marco Succi
;
Simona Pirola
;
Giorgio Macchi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
carbon dioxide (CO_2);
immersion lithography;
airborne molecular contamination (AMC);
gas purification;
Extreme-Ultraviolet (EUV) lithography;
19.
Self-actuated, self-sensing cantilever for fast CD measurement
机译:
用于快速CD测量的自动驱动,自感悬臂
作者:
Ahmad Ahmad
;
Tzvetan Ivanov
;
Alexander Reum
;
Elshad Guliyev
;
Tihomir Angelov
;
Andreas Schuh
;
Marcus Kaestner
;
Ivaylo Atanasov
;
Manuel Hofer
;
Mathias Holz
;
Ivo W. Rangelow
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Atomic Force Microscope (AFM);
Active Cantilever;
CD-AFM;
Thermally actuated Piezoresistive Cantilever;
Adaptive Scan Speed Control;
Q-Control;
20.
Scanner focus metrology for advanced node scanner monitoring and control
机译:
扫描仪专注于高级节点扫描仪监控和控制的计量计量
作者:
Jimyung Kim
;
Youngsik Park
;
Taehwa Jeong
;
Suhyun Kim
;
Kwang-Sub Yoon
;
Byoung-il Choi
;
Vladimir Levinski
;
Daniel Kandel
;
Yoel Feler
;
Nadav Gutman
;
Eltsafon Island-Ashwal
;
Moshe Cooper
;
DongSub Choi
;
Eitan Herzel
;
Tien David
;
JungWook Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Scanner's focus metrology;
Accuracy;
Scanner's focus control;
Scanner's focuses monitoring;
21.
Lithography Overlay Control Improvement using Patterned Wafer Geometry for sub 22 nm technology nodes
机译:
光刻覆盖控制改进使用图案化晶片几何形状进行Sub 22 NM技术节点
作者:
Joel Peterson
;
Gary Rusk
;
Sathish Veeraraghavan
;
Kevin Huang
;
Telly Koffas
;
Peter Kimani
;
Jaydeep Sinha
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
overlay;
wafer geometry;
in-plane displacement;
22.
64nm Pitch Metall Double Patterning Metrology: CD and OVL Control by SEMCD, Image Based Overlay and Diffraction Based Overlay
机译:
64nm音高金相双图案化计量:CD和OVL通过SEMCD控制,基于图像的覆盖层和基于衍射的覆盖层
作者:
Julien Ducote
;
Florent Dettoni
;
Regis Bouyssou
;
Bertrand Le-Gratiet
;
Damien Carau
;
Christophe Dezauzier
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Double Patterning;
Metrology;
CDSEM;
DBO;
23.
Solving next generation (1X node) metrology challenges using advanced CDSEM capabilities: tilt, high energy and backscatter imaging
机译:
使用高级CDSEM功能解决下一代(1x节点)计量挑战:倾斜,高能量和反向散射成像
作者:
Xiaoxiao Zhang
;
Patrick Snow
;
Alok Vaid
;
Eric Solecky
;
Hua Zhou
;
Zhenhua Ge
;
Shay Yasharzade
;
Ori Shoval
;
Ofer Adan
;
Ishai Schwarzband
;
Maayan Bar-Zvi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
CD-SEM;
3D metrology;
tilt-beam;
BSE;
SAV;
SEM-based overlay;
24.
Multiple Height Calibration Reference for Nano-Metrology
机译:
多高度校准参考纳米计量
作者:
M. Christophersen
;
B. F. Phlips
;
A. J. Boudreau
;
M. K. Yetzbacher
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
step height;
scanning probe microscope;
nano-metrology;
calibration;
gray-tone lithography;
atomic layer deposition;
25.
Overlay target selection for 20-nm process on A500 LCM
机译:
覆盖A500 LCM的20-NM过程的目标选择
作者:
Vidya Ramanathan
;
Lokesh Subramany
;
Tal Itzkovich
;
Karsten Gutjhar
;
Patrick Snow
;
Chanseob Cho
;
Lipkong Yap
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Target design;
Accuracy;
Litho Metrology;
TMU;
CDSEM overlay;
SCOL;
AIM;
26.
Pattern recognition and data mining techniques to identify factors in wafer processing and control determining overlay error
机译:
模式识别和数据挖掘技术识别晶片处理中的因素和控制确定覆盖误差
作者:
Auguste Lam
;
Alexander Ypma
;
Maxime Gatefait
;
David Deckers
;
Arne Koopman
;
Richard van Haren
;
Jan Beltman
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
On-product overlay;
Pattern Recognition;
Data mining;
Principal Component Analysis;
Machine Learning;
Partial Least-Squares regression;
Support Vector Machines;
Reticle Heating;
27.
Improvement of Depth of Focus Control using Wafer Geometry
机译:
晶圆几何的改进
作者:
Honggoo Lee
;
Jongsu Lee
;
Sangmin Kim
;
Changhwan Lee
;
Sangjun Han
;
Myongsoo Kim
;
Wontaik Kwon
;
Sung-Ki Park
;
Sathish Veeraraghavan
;
JH Kim
;
Amartya Awasthi
;
Jungho Byeon
;
Dieter Mueller
;
Jaydeep Sinha
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
edge CD;
wafer edge flatness;
site flatness;
depth of focus;
28.
A new paradigm for in-line detection and control of patterning defects
机译:
一种新的范例,用于在线检测和控制图案化缺陷
作者:
Stefan Hunsche
;
Marinus Jochemsen
;
Vivek Jain
;
Xinjian Zhou
;
Frank Chen
;
Venu Vellanki
;
Chris Spence
;
Sandip Halder
;
Dieter van den Heuvel
;
Vincent Truffert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
patterning defect;
hotspot monitoring;
holistic lithography;
10 nm;
design hotspots;
process window;
defect prediction;
defect verification;
defect control;
29.
Investigating SEM metrology effects using a detailed SEM simulation and stochastic resist model
机译:
使用详细的SEM仿真和随机抗蚀剂模型来研究SEM计量效应
作者:
Richard A. Lawson
;
Clifford L. Henderson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
photoresists;
scanning electron microscopy;
electron scattering;
sidewall roughness;
line edge roughness film thickness effects on LER;
sidewall angle;
stochastic photoresist model;
30.
Hybrid metrology implementation: server approach
机译:
混合计量实现:服务器方法
作者:
Carmen Osorio
;
Padraig Timoney
;
Alok Vaid
;
Alex Elia
;
Charles Kang
;
Cornel Bozdog
;
Naren Yellai
;
Eyal Grubner
;
Toru Ikegami
;
Masahiko Ikeno
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
hybrid metrology;
hybrid server;
CD-SEM;
OCD;
HVM;
critical dimension;
co-optimization;
31.
Induced e-Beam charge impact on spatial orientation of Gate-All-Around Silicon wires device fabricated on Boron Nitride substrate
机译:
诱导电子束充电对氮化硼衬底制造的栅极 - 全部硅线路装置的空间取向影响
作者:
Shimon Levi
;
Konstantin Chirko
;
Ofer Adan
;
Guy M. Cohen
;
Sarunya Bangsaruntip
;
Leathen Shi
;
Alfred Grill
;
Deborah Neumayer
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
32.
Improvement of Process Control using Wafer Geometry for Enhanced Manufacturability of Advanced Semiconductor Devices
机译:
使用晶圆几何进行过程控制的改进,以提高高级半导体器件的可制造性
作者:
Honggoo Lee
;
Jongsu Lee
;
Sangmin Kim
;
Changhwan Lee
;
Sangjun Han
;
Myoungsoo Kim
;
Wontaik Kwon
;
Sung-Ki Park
;
Pradeep Vukkadala
;
Amartya Awasthi
;
JH Kim
;
Sathish Veeraraghavan
;
DongSub Choi
;
Kevin Huang
;
Prasanna Dighe
;
Cheouljung Lee
;
Jungho Byeon
;
Soham Dey
;
Jaydeep Sinha
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Silicon wafers;
Process-induced overlay;
local stress;
wafer geometry;
IPD;
local shape curvature;
feedforward;
33.
Predictability and impact of product layout induced topology on across-field focus control
机译:
产品布局诱导拓扑对跨场聚焦控制的可预测性和影响
作者:
J-G. Simiz
;
T. Hasan
;
F. Staals
;
B. Le-Gratiet
;
P. Gilgenkrantz
;
A. Villaret
;
F. Pasqualini
;
W.T. Tel
;
C. Prentice
;
A. Tishchenko
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
depth of focus;
intrafield;
scanner levelling;
topography;
scanner;
product design layout effect;
PLS regression analysis;
34.
Simulation of AIMS measurements using rigorous mask 3D modeling
机译:
使用严格掩模3D建模仿真目标测量
作者:
Chih-Shiang Chou
;
Hsu-Ting Huang
;
Fu-Sheng Chu
;
Yuan-Chih Chu
;
Wen-Chun Huang
;
Ru-Gun Liu
;
Tsai-Sheng Gau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
AIMS;
lithography;
mask 3D;
EMF;
mask inspection;
35.
Scatterometry-based Defect Detection for DSA In-line Process Control
机译:
基于散射测定的DSA在线过程控制的缺陷检测
作者:
Robin Chao
;
Chi-Chun Liu
;
Cornel Bozdog
;
Aron Cepler
;
Matthew Sendelbach
;
Oded Cohen
;
Shay Wolfling
;
Todd Bailey
;
Nelson Felix
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
OCD;
scatterometry;
CD-SEM;
DSA;
defects;
1X node;
imaging;
36.
Study on Immersion Lithography Defectivity Improvement in Memory Device Manufacturing
机译:
存储器件制造中的浸入光刻缺陷改进研究
作者:
Weiming He
;
Huayong Hu
;
Qiang Wu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Memory device;
defectivity;
development process;
rinse process;
transmission rate;
37.
Hybrid Overlay metrology with CDSEM in a BEOL patterning scheme
机译:
混合覆盖计量与CDSEM在BEOL Patterning计划中
作者:
Philippe Leray
;
Christiane Jehoul
;
Osamu Inoue
;
Yutaka Okagawa
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
CDSEM;
DBO;
accuracy;
38.
Target design optimization for overlay scatterometry to improve on-product overlay
机译:
覆盖散射测定法的目标设计优化,以改善产品覆盖物
作者:
Henk-Jan H. Smilde
;
Richard J.F. van Haren
;
Willy van Buel
;
Lars H.D. Driessen
;
Jerome Depre
;
Jan Beltman
;
Florent Dettoni
;
Julien Ducote
;
Christophe Dezauzier
;
Yoann Blancquaert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Target design optimization;
overlay;
in-die;
metrology;
scatterometry;
MT-OPC;
small target;
edge effect;
39.
Analytical Linescan Model for SEM Metrology
机译:
SEM Metrology的分析线模型
作者:
Chris A. Mack
;
Benjamin D. Bunday
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
40.
Optical CD Metrology for Directed Self-Assembly Assisted Contact Hole Shrink Process
机译:
导向自组装辅助接触孔收缩过程的光学CD计量
作者:
Dhairya Dixit
;
Erik R. Hosler
;
Moshe Preil
;
Nick Keller
;
Joseph Race
;
Jun Sung Chun
;
Michael OSullivan
;
Warren Montgomery
;
Alain Diebold
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
DSA contact holes;
Mueller matrix scatterometry;
Optical metrology;
DSA induced defects;
41.
Line Profile Measurement of Advanced-FinFET Features by Reference Metrology
机译:
通过参考计量划线测量先进的FinFET功能
作者:
Kiyoshi Takamasu
;
Yuuki Iwaki
;
Satoru Takahashi
;
Hiroki Kawada
;
Masami Ikota
;
Atsuko Yamaguchi
;
Gian F. Lorusso
;
Naoto Horiguchi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Line profile;
FinFET;
TEM;
CD-SEM;
Reference metrology;
42.
3D Isolation Mounts Scatterometry with RCWA and PML
机译:
3D隔离安装散射仪与rcwa和pml
作者:
Hirokimi Shirasaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Scatterometry;
RCWA;
PML;
3D Isolation structure;
3D Isolation mounts;
43.
Accelerated technology development by the use of Critical Point Imaging SEM
机译:
通过使用临界点成像SEM加速技术开发
作者:
Dominique Sanchez
;
Benoit Hinshberger
;
Loemba Bouckou
;
Olivier Moreau
;
Paolo Parisi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Yield Enhancement;
SEM review;
process development;
systematic defects;
hot spot inspection;
44.
Metrology of DSA process using TEM tomography
机译:
使用TEM层析术进行DSA过程的计量
作者:
Tamar Segal-Peretz
;
Jonathan Winterstein
;
Jiaxing Ren
;
Mahua Biswas
;
J. Alexander Liddle
;
Jeffrey W. Elam
;
Leonidas E. Ocola
;
Ralu N. S. Divan
;
Nestor Zaluzec
;
Paul F. Nealey
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Block copolymers;
self-assembly;
DSA;
TEM;
STEM;
tomography;
3D characterization;
SIS;
45.
The effect of sidewall roughness on line edge roughness in top-down scanning electron microscopy images
机译:
自上而下扫描电子显微镜图像中侧壁粗糙度对线边缘粗糙度的影响
作者:
T. Verduin
;
S. R. Lokhorst
;
P. Kruit
;
C. W. Hagen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Metrology;
Scanning electron microscopy;
Line edge roughness;
Sidewall roughness;
Power spectral density;
Monte Carlo methods;
46.
Scatterometry or Imaging Overlay a Comparative Study
机译:
散射测定法或成像覆盖一个比较研究
作者:
Simon C. C. Hsu
;
Yuan Chi Pai
;
Charlie Chen
;
Chun Chi Yu
;
Henry Hsing
;
Robert (Hsing-Chien) Wu
;
Kelly T. L. Kuo
;
Nuriel Amir
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Accuracy;
process robustness;
IBO;
SCOL;
DBO;
uDBO;
47.
Improving full-wafer on-product overlay using computationally designed process-robust and device-like metrology targets.
机译:
使用计算设计的流程稳健和设备类似的计量目标改进全晶圆上覆盖物。
作者:
Young-Sik Kim
;
Young-Sun Hwang
;
Mi-Rim Jung
;
Ji-Hwan Yoo
;
Won-Taik Kwon
;
Kevin Ryan
;
Paul Tuffy
;
Youping Zhang
;
Sean Park
;
Nang-Lyeom Oh
;
Chris Park
;
Mir Shahrjerdy
;
Roy Werkman
;
Kyu-Tae Sun
;
Jin-Moo Byun
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Holistic;
target design;
On Product Overlay;
multi-layer;
DBO;
D4C;
metrology;
precision;
throughput;
accuracy;
process robustness;
device matching;
DRAM;
tilted structures;
extreme illumination;
48.
Comprehensive BEOL Control using Scatterometry and APC
机译:
使用散射测定法和APC进行全面的BEOL控制
作者:
Padraig Timoney
;
Jamie Tsai
;
Sudhir Baral
;
Laertis Economikos
;
Alok Vaid
;
Haibo Liu
;
Byungcheol Kang
;
Paul Isbester
;
Prasad Dasari
;
Roy Kort
;
Naren Yellai
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
3D Scatterometry;
CMP;
RIE;
BEOL;
APC;
49.
Mechanical and thermal properties of nanomaterials at sub-50nm dimensions characterized using coherent EUV beams
机译:
使用相干EUV梁的亚级材料的纳米材料的机械和热性能
作者:
Kathleen Hoogeboom-Pot
;
Jorge Hernandez-Charpak
;
Travis Frazer
;
Xiaokun Gu
;
Emrah Turgut
;
Erik Anderson
;
Weilun Chao
;
Justin Shaw
;
Ronggui Yang
;
Margaret Murnane
;
Henry Kapteyn
;
Damiano Nardi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Ultrafast X-Rays;
nanometrology;
nano-mechanical properties;
ultrathin films;
nondiffusive thermal transport;
mean free path spectroscopy;
photoacoustic;
photothermal;
50.
Overlay Measurement Accuracy Enhancement by Design and Algorithm
机译:
通过设计和算法覆盖测量精度增强
作者:
Honggoo Lee
;
Byongseog Lee
;
Sangjun Han
;
Myoungsoo Kim
;
Wontaik Kwon
;
Sungki Park
;
DongSub Choi
;
Dohwa Lee
;
Sanghuck Jeon
;
Kangsan Lee
;
Tal Itzkovich
;
Nuriel Amir
;
Roie Volkovich
;
Eitan Herzel
;
Mark Wagner
;
Mohamed El Kodadi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Scatterometry;
TMU;
process robustness;
Accuracy;
matching to device;
51.
Development of a comprehensive metrology platform dedicated to dimensional measurements of CD atomic force microscopy tips
机译:
开发专用于CD原子力显微镜提示的尺寸测量的全面计量平台
作者:
Johann Foucher
;
Sebastian W. Schmidt
;
Aurelien Labrosse
;
Alexandre Derville
;
Sandra Bos
;
Sebastian Schade
;
Bernd Irmer
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
AFM tip dimensions;
automatic image analysis;
CD AFM;
reference metrology;
data fusion;
52.
Through Pitch monitoring by optical scatterometry
机译:
通过光学散射测量法通过音高监测
作者:
R. Melzer
;
C. Hartig
;
G. Grasshoff
;
B. Sass
;
F. Koch
;
Z.-Q. Xu
;
Z. Shen
;
J. Engelmann
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
SCD;
scatterometry;
optical metrology;
chamber matching;
through pitch;
Etch compensation;
53.
Lithography process controllers and photoresist monitoring by signal response metrology (SRM)
机译:
光刻过程控制器和信号响应计量的光致抗蚀剂监测(SRM)
作者:
He Rong Yang
;
Tang Chun Weng
;
Wei-Jhe Tzai
;
Chien-Hao Chen
;
Chun-Chi Yu
;
Wei-Yuan Chu
;
Sungchul Yoo
;
Chien-Jen Huang
;
Chao-Yu Cheng
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Photolithography;
scatterometry;
focus;
dose;
spectrum;
photoresist;
54.
Intra-field patterning control using high-speed and small-target optical metrology of CD and focus
机译:
使用CD和焦点的高速和小型靶光学计量的现场图案化控制
作者:
Hugo Cramer
;
Stefan Petra
;
Bastiaan Onne Fagginger Auer
;
Henk-Jan Smilde
;
Baukje Wisse
;
Steven Welch
;
Stefan Kruijswijk
;
Paul Hinnen
;
Bart Segers
;
Christian Leewis
;
Frank Staals
;
Maryana Escalante Marun
;
Stuart Young
;
Wei Guo
;
Arie den Boef
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Scatterometry;
focus control;
CD control;
profile control;
CD metrology;
focus metrology;
optical metrology;
55.
Overlay Accuracy Investigation for advanced memory device
机译:
高级内存设备覆盖准确性调查
作者:
Honggoo Lee
;
Byongseog Lee
;
Sangjun Han
;
Myoungsoo Kim
;
Wontaik Kwon
;
Sungki Park
;
DongSub Choi
;
Dohwa Lee
;
Sanghuck Jeon
;
Kangsan Lee
;
Roie Volkovich
;
Tal Itzkovich
;
Eitan Herzel
;
Mark Wagner
;
Mohamed ElKodadi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Accuracy;
56.
Quantitative Nanomechanical Measurement of Electron Beam Surface Modification
机译:
电子束表面改性的定量纳米机械测量
作者:
Aaron Cordes
;
Benjamin Bunday
;
Cecilia Montgomery
;
Jason Osborne
;
Sean Hand
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
AFM;
SEM;
PF-QNM;
quantitative nanomechanical;
modulus;
resist shrinkage;
slimming;
metrology;
57.
Demonstration of Parallel Scanning Probe Microscope for High Throughput Metrology and Inspection
机译:
高通量计量与检验的平行扫描探针显微镜的演示
作者:
Hamed Sadeghian
;
Bert Dekker
;
Rodolf Herfst
;
Jasper Winters
;
Alexander Eigenraam
;
Ramon Rijnbeek
;
Nicole Nulkes
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
parallel atomic force microscope;
scanning probe microscope;
wafer;
mask;
CD-metrology;
Defect review;
process control;
58.
Overlay Improvement by Exposure Map based Mask Registration Optimization
机译:
基于曝光地图的掩模注册优化覆盖改进
作者:
Irene Shi
;
Eric Guo
;
Ming Chen
;
Max Lu
;
Gordon Li
;
Rivan Li
;
Eric Tian
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
mask registration;
in-die;
SOV (sources of variation);
exposure map;
pattern density;
POSCOR (position correction);
59.
The use of eDR-71xx for DSA defect review and automated classification
机译:
使用EDR-71XX进行DSA缺陷审查和自动分类
作者:
Hari Pathangi
;
Dieter Van den Heuvel
;
Hareen Bayana
;
Loemba Bouckou
;
Jim Brown
;
Paolo Parisi
;
Rohan Gosain
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
DSA SEM-review;
automated defect classification;
RT-ADC;
LiNe flow;
60.
Fast analytical modeling of SEM images at a high level of accuracy
机译:
高精度的SEM图像快速分析模拟
作者:
S. Babin
;
S.S. Borisov
;
V.P. Trifonenkov
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
SEM;
metrology;
SEM simulation;
critical dimensions;
analytic model;
compact model;
Monte Carlo;
lithography simulation;
electron scattering;
charging;
61.
Metrology of a 50 nm HP wire-grid polarizer: a SEM-scatterometry comparison
机译:
50nm HP线栅极偏振器的计量:SEM散射测定法
作者:
Ruichao Zhu
;
Alexander Munoz
;
S.R.J Brueck
;
Shrawan Singhal
;
S.V. Sreenivasan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
optical metrology;
scatterometry;
wire-grid polarizer;
SEM;
nanoimprint lithography;
rigorous coupled-wave analysis;
62.
Improved scatterometry time-to-solution using virtual reference
机译:
使用虚拟参考改进散射时间到解决方案
作者:
Alok Vaid
;
Givantha Iddawela
;
Jamie Tsai
;
Gilad Wainreb
;
Paul Isbester
;
Byung Cheol (Charles) Kang
;
Michael Klots
;
Yinon Katz
;
Cornel Bozdog
;
Matt Sendelbach
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
OCD;
scatterometry;
virtual metrology;
reference metrology;
time to solution;
TEM;
63.
Holistic approach using accuracy of diffraction-based integrated metrology to improve on-product performance, reduce cycle time and cost at litho
机译:
使用基于衍射的综合计量精度的整体方法来提高产品性能,降低Litho的循环时间和成本
作者:
Kaustuve Bhattacharyya
;
Arie den Boef
;
Martin Jak
;
Gary Zhang
;
Martijn Maassen
;
Robin Tijssen
;
Omer Adam
;
Andreas Fuchs
;
Youping Zhang
;
Jacky Huang
;
Vincent Couraudon
;
Wilson Tzeng
;
Eason Su
;
Cathy Wang
;
Jim Kavanagh
;
Christophe Fouquet
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
integrated;
in-line;
on-product;
diffraction;
multi-layer;
DBO;
scatterometer;
TMU;
matching;
metrology;
accuracy;
process robustness;
matching to device;
target design;
productivity;
track;
MA time;
HVM;
cost;
64.
Transient Tip-Sample Interactions in High-Speed AFM Imaging of 3D nano structures
机译:
3D纳米结构高速AFM成像中的瞬态尖端样本相互作用
作者:
Aliasghar Keyvani
;
Hamed Sadeghian
;
Hans Goosen
;
Fred van Keulen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Tapping mode AFM;
Tip-sample interactions;
Peak repulsive Force;
Hertz model;
Contact stress;
65.
Hybridization of XRF/XPS and Scatterometry for Cu CMP process control
机译:
XRF / XPS和Cu CMP过程控制散射测定法的杂交
作者:
Benoit LHerron
;
Robin Chao
;
Kwanghoon Kim
;
Wei Ti Lee
;
Koichi Motoyama
;
Bartlet Deprospo
;
Theodorus Standaert
;
John Gaudiello
;
Cindy Goldberg
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Hybrid Metrology;
X-ray Photoelectron Spectroscopy (XPS);
X-Ray Fluorescence (XRF);
Scatterometry;
BEOL interconnections;
CMP;
66.
Study on ADI CD bias correlating ABC function
机译:
ADI CD偏置关联ABC功能的研究
作者:
Guogui Deng
;
Jingan Hao
;
Bin Xing
;
Yuntao Jiang
;
Gaorong Li
;
Qiang Zhang
;
Liwan Yue
;
Yanlei Zu
;
Huayong Hu
;
Chang Liu
;
Manhua Shen
;
Shijian Zhang
;
Weiming He
;
Nannan Zhang
;
Yi-Shih Lin
;
Qiang Wu
;
Xuelong Shi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
67.
Spectral emission properties of a LPP light source in the sub-200nm range for wafer inspection applications
机译:
晶片检测应用的Sub-200nm范围内LPP光源的光谱发射特性
作者:
Nadia Gambino
;
Bob Rollinger
;
Duane Hudgins
;
Reza Abhari
;
F. Abreau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
droplet target;
laser produced plasma;
VUV spectroscopy;
optical inspection;
68.
Scatterometry-based metrology for the 14 nm node double patterning lithography
机译:
基于散射测量的14 nm节点双图案化光刻的计量
作者:
D. Carau
;
R. Bouyssou
;
J. Ducote
;
F. Dettoni
;
A. Ostrovsky
;
B. Le Gratiet
;
C. Dezauzier
;
M. Besacier
;
C. Gourgon
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
scatterometry;
double patterning;
overlay;
Mueller Matrix ellipsometry;
69.
Lithography Develop Process Electrostatic Discharge Effect Mechanism Study
机译:
光刻发展过程静电放电效应机制研究
作者:
Xiaosong Yang
;
Yi Zhou Ye
;
Yongxiang Zou
;
XiaoZheng Zhu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
ESD (Electrostatic Discharge);
IMD (Inter Metal Dielectric) crack;
static charge;
punch through;
pin hole;
rinse;
DI (Deionization) water;
W corrosion;
70.
HVM Capabilities of CPE Run-to-Run Overlay Control
机译:
CPE运行到运行覆盖控制的HVM功能
作者:
Lokesh Subramany
;
Woong Jae Chung
;
Karsten Gutjhar
;
Miguel Garcia-Medina
;
Christian Sparka
;
Lipkong Yap
;
Onur Demirer
;
Ramkumar Karur-Shanmugan
;
Brent Riggs
;
Vidya Ramanathan
;
John C. Robinson
;
Bill Pierson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
CPE;
Residual;
Scanner;
Overlay Control;
Field-by-Field Correction;
Correction per Exposure;
Baseline control;
K-T Analyzer;
71.
Signal Response Metrology (SRM) - a new approach for lithography metrology
机译:
信号响应计量(SRM) - 光刻计量的新方法
作者:
Stilian Pandev
;
Fang Fang
;
Young Ki Kim
;
Jamie Tsai
;
Alok Vaid
;
Lokesh Subramany
;
Dzmitry Sanko
;
Vidya Ramanathan
;
Ren Zhou
;
Kartik Venkataraman
;
Ronny Haupt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Metrology;
Focus;
Dose;
CD;
Accuracy;
Lithography;
72.
More systematic errors in the measurement of power spectral density
机译:
测量功率谱密度的系统误差
作者:
Chris A. Mack
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
73.
Virtual overlay metrology for fault detection supported with integrated metrology and machine learning
机译:
集成计量和机器学习支持的故障检测虚拟覆盖计量
作者:
Hong-Goo Lee
;
Emil Schmitt-Weaver
;
Min-Suk Kim
;
Sang-Jun Han
;
Myoung-Soo Kim
;
Won-Taik Kwon
;
Sung-Ki Park
;
Kevin Ryan
;
Thomas Theeuwes
;
Kyu-Tae Sun
;
Young-Wan Lim
;
Daan Slotboom
;
Michael Kubis
;
Jens Staecker
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
machine learning;
overlay;
fault detection;
virtual metrology;
integrated metrology;
74.
Machine Learning and Predictive Data Analytics Enabling Metrology and Process Control in IC Fabrication
机译:
机器学习与预测数据分析能力在IC制作中实现计量和过程控制
作者:
Narender Rana
;
Yunlin Zhang
;
Donald Wall
;
Bachir Dirahoui
;
Todd Bailey
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Predictive Metrology;
Predictive Data Analytics;
Machine Learning;
Artificial Neural Networks;
Principal Component Analysis (PCA);
Partial Least Square Model (PLS);
Multivariate Linear Regression Models (MVR);
Hybrid Metrology;
Bayesian Regularization;
Reference Metrology;
CD-AFM;
CD-SEM;
SEM Resist Shrinkage;
Scatterometry;
OCD;
MBIR;
Total Measurement Uncertainty (TMU);
Prediction Accuracy;
Critical Dimensional (CD) Metrology;
EUV Resist;
Inline Process Control;
Metal Line Resistance;
Deep Trench Capacitance;
Chemical Mechanical Polishing (CMP);
Reactive Ion Etching (RIE);
Four Point Probe;
75.
Application of Frequency Domain Line Edge Roughness Characterization Methodology in Lithography
机译:
频域线边缘粗糙度表征方法在光刻中的应用
作者:
Lei Sun
;
Wenhui Wang
;
Genevieve Beique
;
Obert Wood
;
Ryoung-han Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Line edge roughness;
line width roughness;
power spectral density;
wiggling;
wiggling factor;
frequency domain;
standard deviation;
lithography;
76.
Advanced overlay analysis through design based metrology
机译:
通过基于设计的计量学,先进的叠加分析
作者:
Sunkeun Ji
;
Gyun Yoo
;
Gyoyeon Jo
;
Hyunwoo Kang
;
Minwoo Park
;
Jungchan Kim
;
Chanha Park
;
Hyunjo Yang
;
Donggyu Yim
;
Kotaro Maruyama
;
Byungjun Park
;
Masahiro Yamamoto
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
DBM (Design Based Metrology);
overlay;
diverse patterning environment;
77.
EUV tools: Hydrogen gas purification and recovery strategies
机译:
EUV工具:氢气净化和回收策略
作者:
Cristian Landoni
;
Marco Succi
;
Chuck Applegarth
;
Sarah Riddle Vogt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Hydrogen (H2);
Extreme Ultraviolet Lithography EUV;
Lithography;
Gas Purification;
78.
Hp-finite element method for simulating light scattering from complex 3D structures
机译:
用于模拟复杂3D结构光散射的HP-USITE元件方法
作者:
Sven Burger
;
Lin Zschiedrich
;
Jan Pomplun
;
Sven Herrmann
;
Frank Schmidt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Scatterometry;
optical metrology;
computational metrology;
computational lithography;
3D rigorous electromagnetic field simulations;
finite-element methods;
hp-FEM;
79.
Grazing-incidence small angle x-ray scattering studies of nanoscale polymer gratings
机译:
纳米级聚合物光栅的放牧发生小角度X射线散射研究
作者:
Manolis Doxastakis
;
Hyo Seon Suh
;
Xuanxuan Chen
;
Paulina A. Rincon Delgadillo
;
Lingshu Wan
;
Lance Williamson
;
Zhang Jiang
;
Joseph Strzalka
;
Jin Wang
;
Wei Chen
;
Nicola Ferrier
;
Abelardo Ramirez-Hernandez
;
Juan J. de Pablo
;
Roel Gronheid
;
Paul Nealey
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
GISAXS;
Grazing-incidence x-ray scattering;
Scattering simulation;
Directed-Self Assembly;
LiNe flow;
Grating;
80.
High Order Overlay Modeling and APC simulation with Zernike-Legendre Polynomials
机译:
具有Zernike-Legendre多项式的高阶覆盖建模和APC仿真
作者:
JawWuk Ju
;
MinGyu Kim
;
JuHan Lee
;
Stuart Sherwin
;
George Hoo
;
DongSub Choi
;
Dohwa Lee
;
Sanghuck Jeon
;
Kangsan Lee
;
David Tien
;
Bill Pierson
;
John C. Robinson
;
Ady Levy
;
Mark D. Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Overlay;
Accuracy;
Zernike;
Legendre;
81.
A diffractometer for quality control in nano fabrication processing based on subwavelength diffraction
机译:
基于亚壳衍射的纳米制造处理中质量控制的衍射仪
作者:
Martin Kreuzer
;
Jordi Gomis Bresco
;
Marianna Sledzinska
;
Clivia M. Sotomayor Torres
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
dimensional metrology;
scatterometry;
real time;
process monitoring;
light diffraction;
subwavelength diffraction;
82.
Real time decision based multiple mode SEM review imaging solution
机译:
基于实时决策的多模式SEM评论成像解决方案
作者:
Huina Xu
;
Harsh Sinha
;
Garry Chen
;
White Pai
;
Jung Yan Yang
;
Charles Tsai
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
Real time;
multiple modes;
SEM review;
landing energy;
auto-classification;
poly remove;
poly residue;
buried voids;
83.
Understanding the impact of CD-SEM artifacts on metrology via experiments and simulations
机译:
了解通过实验和模拟对CD-SEM伪影对Metrology的影响
作者:
Chao Fang
;
Alessandro Vaglio Pret
;
Mark D. Smith
;
John J. Biafore
;
Stewart A. Robertson
;
Joost Bekaert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
CD-SEM simulator;
OPC;
LWR;
PW;
84.
Methodology for determining CD-SEM measurement condition of sub-20nm resist patterns for 0.33NA EUV lithography
机译:
用于确定Sub-20nm抗蚀剂图案的CD-SEM测量条件的方法,用于0.33NA EUV光刻
作者:
Nobuhiro Okai
;
Erin Lavigne
;
Keiichiro Hitomi
;
Scott Halle
;
Shoji Hotta
;
Shunsuke Koshihara
;
Junichi Tanaka
;
Todd Bailey
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
CD-SEM;
metrology;
EUV;
resist;
shrinkage;
precision;
Taguchi method;
85.
Scatterometric analysis of a plasmonic test structure
机译:
等离子体试验结构的散射分析
作者:
Samuel OMullane
;
Nick Keller
;
Joseph Race
;
Brian Martinick
;
Alain Diebold
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
plasmonics;
scatterometry;
ellipsometry;
reflectometry;
86.
Stack and topography verification as an enabler for computational metrology target design
机译:
堆栈和地形验证作为计算计量目标设计的启动器
作者:
Michael E. Adel
;
Inna Tarshish-Shapir
;
David Gready
;
Mark Ghinovker
;
Chen Dror
;
Stephane Godny
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
overlay metrology;
computational metrology target design;
stack and topography verification;
87.
High sensitivity tracking of CD-SEM performance: QSEM
机译:
CD-SEM性能的高灵敏度跟踪:QSEM
作者:
S. Babin
;
Jaffee Huang
;
P. Yushmanov
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
critical dimensions;
CD-SEM;
metrology;
tracking;
monitoring;
characterization;
CD;
88.
9nm node wafer defect inspection using three-dimensional scanning, a 405nm diode laser, and a broadband source
机译:
9nm节点晶片缺陷检查使用三维扫描,405nm二极管激光器和宽带源
作者:
Renjie Zhou
;
Chris Edwards
;
Casey A. Bryniaski
;
Gabriel Popescu
;
Lynford L. Goddard
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2015年
关键词:
9nm node wafer;
wafer defect inspection;
interferometric microscopy;
laser defect inspection;
white-light defect inspection;
89.
Advanced CD-SEM metrology for qualification of DSA patterns using coordinated line epitaxy (COOL) process
机译:
使用协调线外延(COOL)流程的DSA模式资格的高级CD-SEM计量
作者:
Takeshi Kato
;
Junko Konishi
;
Masami Ikota
;
Satoru Yamaguchi
;
Yuriko Seino
;
Hironobu Sato
;
Yusuke Kasahara
;
Tsuasa Azuma
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
DSA;
BCP;
PS-b-PMMA;
CD-SEM;
FER;
LER;
LPR;
PSD;
90.
Holistic Overlay Control for Multi-Patterning Process layers at the 10-nm and 7-nm nodes
机译:
10nm和7-nm节点的多图案化过程层的整体覆盖控制
作者:
Leon Verstappen
;
Evert Mos
;
Peter Wardenier
;
Henry Megens
;
Emil Schmitt-Weaver
;
Kaustuve Bhattacharyya
;
Omer Adam
;
Grzegorz Grzela
;
Joost van Heijst
;
Lotte Willems
;
Jochem Wildenberg
;
Velislava Ignatova
;
Albert Chen
;
Frank Elich
;
Bijoy Rajasekharan
;
Lydia Vergaij - Huizer
;
Brian Lewis
;
Marc Kea
;
Jan Mulkens
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
91.
Study on Overlay AEI-ADI Shift on Contact Layer of Advanced Technology Node
机译:
高级技术节点接触层覆盖AEI-ADI转换的研究
作者:
Guogui Deng
;
Jingan Hao
;
Lihong Xiao
;
Bin Xing
;
Yuntao Jiang
;
Kaiting He
;
Qiang Zhang
;
Weiming He
;
Chang Liu
;
Yi-Shih Lin
;
Qiang Wu
;
Xuelong Shi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
92.
Advanced in-line optical metrology f sub-10nm structures for gate all around devices (GAA)
机译:
先进的在线光学计量F子10nm结构用于门口(Gaa)
作者:
Raja Muthinti
;
Nicolas Loubet
;
Robin Chao
;
John Ott
;
Michael Guillorn
;
Nelson Felix
;
John Gaudiello
;
Parker Lund
;
Aron Cepler
;
Matthew Sendelbach
;
Oded Cohen
;
Shay Wolfling
;
Cornel Bozdog
;
Mark Klare
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
scatterometry;
nanowire;
NW;
gate all around;
GAA;
XRF;
SiGe;
93.
Innovative scatterometry approach for Self-Aligned Quadruple Patterning (SAQP) process control
机译:
自对准四重型图案(SAQP)过程控制的创新散射方法
作者:
Anil Gunay-Demirkol
;
Efrain Altamirano Sanchez
;
Stephane Heraud
;
Stephane Godny
;
Anne-Laure Charley
;
Philippe Leray
;
Ronen Urenski
;
Oded Cohen
;
Igor Turovets
;
Shay Wolfling
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Scatterometry;
SAQP;
pitch walk;
process control;
holistic/hybrid metrology;
nominal/skewed pitch;
FEOL;
fin patterning;
94.
A study of lateral roughness evaluation through critical-dimension small angle x-ray scattering (CD-SAXS)
机译:
通过临界尺寸小角度X射线散射横向粗糙度评估研究(CD-SAXS)
作者:
G. Freychet
;
C. Cadoux
;
Y. Blancquaert
;
S. Rey
;
M. Maret
;
P. Gergaud
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Critical-dimension;
small angle X-ray scattering;
line-edge roughness;
line gratings;
periodic nanostructure;
metrology;
95.
Improvements to the Analytical Linescan Model for SEM Metrology
机译:
SEM Metrology的分析线模型的改进
作者:
Chris A. Mack
;
Benjamin D. Bunday
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
96.
Scanning Scattering Contrast Microscopy for Actinic EUV Mask Inspection
机译:
扫描散射对比度显微镜,用于光化EUV面罩检查
作者:
I. Mohacsi
;
P. Helfenstein
;
R. Rajendrana
;
Y. Ekinci
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
EUV lithography;
actinic inspection;
lensless imaging;
mask metrology;
defect characterization;
97.
Process window and defect monitoring using high-throughput e-beam inspection guided by computational hot spot detection
机译:
使用计算热点检测引导的高吞吐量电子波线检测处理窗口和缺陷监控
作者:
Fei Wang
;
Pengcheng Zhang
;
Wei Fang
;
Kevin Liu
;
Jack Jau
;
Lester Wang
;
Alex Wan
;
Stefan Hunsche
;
Sandip Halder
;
Philippe Leray
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
high-throughput inspection;
hotspots;
process window monitoring;
defect prediction;
defect verification;
CDU;
Die to Database (D2DB);
98.
Spacer multi-patterning control strategy with optical CD metrology on device structures
机译:
具有光学CD计量的间隔多图案化控制策略在装置结构上
作者:
Jongsu Lee
;
Byoung-Hoon Lee
;
Won-Kwang Ma
;
Sang-Jun Han
;
Young-Sik Kim
;
Noh-Jung Kwak
;
Thomas Theeuwes
;
Wei Guo
;
Yi Song
;
Baukje Wisse
;
Stefan Kruijswijk
;
Hugo Cramer
;
Steven Welch
;
Alok Verma
;
Rui Zhang
;
Yvon Chai
;
Sharon Hsu
;
Giacomo Miceli
;
Kyu-Tae Sun
;
Jin-Moo Byun
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
CDU;
pitch walking;
control;
spacer multi-patterning;
angle resolved scatterometer;
after etch inspection;
99.
Focus measurement using SEM image analysis of circuit pattern
机译:
使用电路模式的SEM图像分析对焦测量
作者:
Shinichi Shinoda
;
Yasutaka Toyoda
;
Yutaka Hojo
;
Hitoshi Sugahara
;
Hiroyuki Sindo
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
focus measurement method;
analyzing SEM images;
analyzing side wall shapes;
multi-slice contours;
100.
Holistic, model-based optimization of edge leveling as an enabler for lithographic focus control -Application to a memory use case
机译:
完整的,基于模型的边缘调平优化作为光刻焦点控制 - 存储器用例的启动器
作者:
T. Hasan
;
Y-S. Kang
;
Y-J. Kim
;
S-J. Park
;
S-Y. Jang
;
K-Y. Hu
;
E.J. Koop
;
P.C. Hinnen
;
M.M.A.J. Voncken
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Leveling;
wafer edge focus control;
dies-in-spec;
Imaging Optimizer -Leveling;
LithoInsight;
意见反馈
回到顶部
回到首页