掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
其他
>
Metrology, Inspection, and Process Control for Microlithography
Metrology, Inspection, and Process Control for Microlithography
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
208
条结果
1.
Advanced CD-SEM metrology for qualification of DSA patterns using coordinated line epitaxy (COOL) process
机译:
使用协调线外延(COOL)流程的DSA模式资格的高级CD-SEM计量
作者:
Takeshi Kato
;
Junko Konishi
;
Masami Ikota
;
Satoru Yamaguchi
;
Yuriko Seino
;
Hironobu Sato
;
Yusuke Kasahara
;
Tsuasa Azuma
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
DSA;
BCP;
PS-b-PMMA;
CD-SEM;
FER;
LER;
LPR;
PSD;
2.
Holistic Overlay Control for Multi-Patterning Process layers at the 10-nm and 7-nm nodes
机译:
10nm和7-nm节点的多图案化过程层的整体覆盖控制
作者:
Leon Verstappen
;
Evert Mos
;
Peter Wardenier
;
Henry Megens
;
Emil Schmitt-Weaver
;
Kaustuve Bhattacharyya
;
Omer Adam
;
Grzegorz Grzela
;
Joost van Heijst
;
Lotte Willems
;
Jochem Wildenberg
;
Velislava Ignatova
;
Albert Chen
;
Frank Elich
;
Bijoy Rajasekharan
;
Lydia Vergaij - Huizer
;
Brian Lewis
;
Marc Kea
;
Jan Mulkens
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
3.
Study on Overlay AEI-ADI Shift on Contact Layer of Advanced Technology Node
机译:
高级技术节点接触层覆盖AEI-ADI转换的研究
作者:
Guogui Deng
;
Jingan Hao
;
Lihong Xiao
;
Bin Xing
;
Yuntao Jiang
;
Kaiting He
;
Qiang Zhang
;
Weiming He
;
Chang Liu
;
Yi-Shih Lin
;
Qiang Wu
;
Xuelong Shi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
4.
Advanced in-line optical metrology f sub-10nm structures for gate all around devices (GAA)
机译:
先进的在线光学计量F子10nm结构用于门口(Gaa)
作者:
Raja Muthinti
;
Nicolas Loubet
;
Robin Chao
;
John Ott
;
Michael Guillorn
;
Nelson Felix
;
John Gaudiello
;
Parker Lund
;
Aron Cepler
;
Matthew Sendelbach
;
Oded Cohen
;
Shay Wolfling
;
Cornel Bozdog
;
Mark Klare
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
scatterometry;
nanowire;
NW;
gate all around;
GAA;
XRF;
SiGe;
5.
Innovative scatterometry approach for Self-Aligned Quadruple Patterning (SAQP) process control
机译:
自对准四重型图案(SAQP)过程控制的创新散射方法
作者:
Anil Gunay-Demirkol
;
Efrain Altamirano Sanchez
;
Stephane Heraud
;
Stephane Godny
;
Anne-Laure Charley
;
Philippe Leray
;
Ronen Urenski
;
Oded Cohen
;
Igor Turovets
;
Shay Wolfling
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Scatterometry;
SAQP;
pitch walk;
process control;
holistic/hybrid metrology;
nominal/skewed pitch;
FEOL;
fin patterning;
6.
A study of lateral roughness evaluation through critical-dimension small angle x-ray scattering (CD-SAXS)
机译:
通过临界尺寸小角度X射线散射横向粗糙度评估研究(CD-SAXS)
作者:
G. Freychet
;
C. Cadoux
;
Y. Blancquaert
;
S. Rey
;
M. Maret
;
P. Gergaud
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Critical-dimension;
small angle X-ray scattering;
line-edge roughness;
line gratings;
periodic nanostructure;
metrology;
7.
Improvements to the Analytical Linescan Model for SEM Metrology
机译:
SEM Metrology的分析线模型的改进
作者:
Chris A. Mack
;
Benjamin D. Bunday
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
8.
Scanning Scattering Contrast Microscopy for Actinic EUV Mask Inspection
机译:
扫描散射对比度显微镜,用于光化EUV面罩检查
作者:
I. Mohacsi
;
P. Helfenstein
;
R. Rajendrana
;
Y. Ekinci
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
EUV lithography;
actinic inspection;
lensless imaging;
mask metrology;
defect characterization;
9.
Process window and defect monitoring using high-throughput e-beam inspection guided by computational hot spot detection
机译:
使用计算热点检测引导的高吞吐量电子波线检测处理窗口和缺陷监控
作者:
Fei Wang
;
Pengcheng Zhang
;
Wei Fang
;
Kevin Liu
;
Jack Jau
;
Lester Wang
;
Alex Wan
;
Stefan Hunsche
;
Sandip Halder
;
Philippe Leray
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
high-throughput inspection;
hotspots;
process window monitoring;
defect prediction;
defect verification;
CDU;
Die to Database (D2DB);
10.
Spacer multi-patterning control strategy with optical CD metrology on device structures
机译:
具有光学CD计量的间隔多图案化控制策略在装置结构上
作者:
Jongsu Lee
;
Byoung-Hoon Lee
;
Won-Kwang Ma
;
Sang-Jun Han
;
Young-Sik Kim
;
Noh-Jung Kwak
;
Thomas Theeuwes
;
Wei Guo
;
Yi Song
;
Baukje Wisse
;
Stefan Kruijswijk
;
Hugo Cramer
;
Steven Welch
;
Alok Verma
;
Rui Zhang
;
Yvon Chai
;
Sharon Hsu
;
Giacomo Miceli
;
Kyu-Tae Sun
;
Jin-Moo Byun
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
CDU;
pitch walking;
control;
spacer multi-patterning;
angle resolved scatterometer;
after etch inspection;
11.
Focus measurement using SEM image analysis of circuit pattern
机译:
使用电路模式的SEM图像分析对焦测量
作者:
Shinichi Shinoda
;
Yasutaka Toyoda
;
Yutaka Hojo
;
Hitoshi Sugahara
;
Hiroyuki Sindo
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
focus measurement method;
analyzing SEM images;
analyzing side wall shapes;
multi-slice contours;
12.
Holistic, model-based optimization of edge leveling as an enabler for lithographic focus control -Application to a memory use case
机译:
完整的,基于模型的边缘调平优化作为光刻焦点控制 - 存储器用例的启动器
作者:
T. Hasan
;
Y-S. Kang
;
Y-J. Kim
;
S-J. Park
;
S-Y. Jang
;
K-Y. Hu
;
E.J. Koop
;
P.C. Hinnen
;
M.M.A.J. Voncken
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Leveling;
wafer edge focus control;
dies-in-spec;
Imaging Optimizer -Leveling;
LithoInsight;
13.
Controlling Bridging and Pinching with Pixel-based Mask for Inverse Lithography
机译:
用基于像素的掩模控制桥接和捏合的逆光刻
作者:
Sergey Kobelkov
;
Alexander Tritchkov
;
JiWan Han
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Inverse lithography;
Local printability enhancement;
Process window;
Printability defects;
Hotspots;
14.
Co-optimization of RegC and TWINSCAN corrections to improve the intra-field on-product overlay performance
机译:
REGC和TWISCAN校正的共同优化,以改善现场内覆盖性能
作者:
Kujan Gorhad
;
Ofir Sharoni
;
Vladimir Dmitriev
;
Avi Cohen
;
Richard van Haren
;
Christian Roelofs
;
Hakki Ergun Cekli
;
Emily Gallagher
;
Philippe Leray
;
Dirk Beyer
;
Thomas Trautzsch
;
Steffen Steinert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Registration Error;
Overlay;
Reticle;
Mask;
RegC;
LIS;
Fingerprint Correction;
Scanner actuators;
OPO;
15.
Topological study of nanomaterials using surface-enhanced ellipsometric contrast microscopy (SEEC).
机译:
纳米材料使用表面增强型椭圆对比度显微镜(SEEC)的拓扑研究。
作者:
Sylvain Muckenhirn
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Coating;
graphene;
nano-patterns;
nano-lithography;
nanometric;
nano-objects;
live;
label-free;
SEEC;
topography;
16.
Process window limiting hot spot monitoring for high-volume manufacturing
机译:
流程窗口限制高批量生产的热点监测
作者:
Marinus Jochemsen
;
Roy Anunciado
;
Vadim Timoshkov
;
Stefan Hunsche
;
Xinjian Zhou
;
Chris Jones
;
Neal Callan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
17.
Applications of on-product diffraction-based focus metrology in logic high volume manufacturing
机译:
逻辑大卷制造中的产品衍射焦位学的应用
作者:
Ben F. Noyes
;
Babak Mokaberi
;
David Bolton
;
Chen Li
;
Ashwin Palande
;
Kevin Park
;
Marc Noot
;
Marc Kea
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
APC;
SPC;
excursion detection;
focus;
sampling;
integrated metrology;
18.
Material analysis techniques used to drive down in-situ mask contamination sources
机译:
用于驱动原位掩模污染源的材料分析技术
作者:
Harm Dillen
;
Gerard Rebel
;
Jennifer Massier
;
Dominika Grodzinka
;
Richard J. Bruls
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Mask defectivity;
Defect Characterization;
SEM;
EDS;
Elemental mapping;
Mask defectivity;
EUV lithography;
19.
Automatic pattern localization across layout database and photolithography mask
机译:
布局数据库和光刻掩模的自动模式本地化
作者:
Philippe Morey
;
Frederic Brault
;
Eric Beisser
;
Oliver Ache
;
Klaus-Dieter Roth
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Mask registration;
pattern placement;
intra-field wafer overlay;
multi-patterning lithography;
automatic pattern matching;
database clipping;
20.
Design-based metrology: Beyond CD/EPE metrics to evaluate printability performance
机译:
基于设计的计量:超越CD / EPE指标,以评估可打印性能
作者:
Sandip Halder
;
Julien Mailfert
;
Philppe Leray
;
David Rio
;
Yi-Hsing Peng
;
Bart Laenens
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
CD-SEM;
contours;
image analysis;
sectorization;
process window;
EPE;
21.
Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution
机译:
非接触距离测量和轮廓测量使用热近场辐射朝向高分辨率检测和计量溶液
作者:
Roy Bijster
;
Hamed Sadeghian
;
Fred van Keulen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
thermal microscopy;
near field;
high resolution inspection;
22.
An evaluation of edge roll off on 28nm FDSOI (Fully Depleted Silicon on Insulator) product
机译:
在28nm FDSOI(绝缘体上完全耗尽硅)产品的边缘滚动评价
作者:
M. Gatefait
;
B. Le-Gratiet
;
C. Prentice
;
T. Hasan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Edge roll off;
scanner levelling;
NXT:1950i;
FDSOI;
23.
Net Tracing and Classification Analysis on E-Beam Die-to-Database Inspection
机译:
电子束模具对数据库检查的净跟踪和分类分析
作者:
Weihong Gao
;
Xuefeng Zeng
;
Peter Lin
;
Yan Pan
;
Ho Young Song
;
Hoang Nguyen
;
Na Cai
;
Zhijin Chen
;
Khurram Zafar
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Net Tracing Classification;
Die-to-database Inspection;
voltage contrast defect;
Hotspot inspection;
24.
Method for fast computation of angular light scattering spectra from 2D periodic arrays
机译:
从2D周期阵列快速计算角光散射光谱的方法
作者:
Jan Pomplun
;
Sven Burger
;
Lin Zschiedrich
;
Philipp Gutsche
;
Frank Schmidt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
3D electromagnetic field simulations;
finite-element method;
Schur-complement;
scatterometry;
optical metrology;
computational lithography;
25.
Sub 20 nm Particle Inspection On EUV Mask Blanks
机译:
EUV掩模空白的亚20 nm粒子检查
作者:
Peter Bussink
;
Jean-Baptiste Volatier
;
Peter van der Walle
;
Erik Fritz
;
Jacques van der Donck
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
26.
Advanced In-line Metrology Strategy for Self-Aligned Quadruple Patterning
机译:
自我对齐四重图案的高级在线计量策略
作者:
Robin Chao
;
Mary Breton
;
Benoit Lherron
;
Brock Mendoza
;
Raja Muthinti
;
Florence Nelson
;
Abraham De La Pena
;
Fee li Le
;
Eric Miller
;
Stuart Sieg
;
James Demarest
;
Peter Gin
;
Matthew Wormington
;
Aron Cepler
;
Cornel Bozdog
;
Matthew Sendelbach
;
Shay Wolfing
;
Tom Cardinal
;
Sivananda Kanakasabapathy
;
John Gaudiello
;
Nelson Felix
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Metrology;
SAQP;
CDSEM;
OCD;
XRD;
pitch walk;
27.
Gaining insight into effective metrology height through the use of a compact CDSEM model for lithography simulation
机译:
通过使用紧凑的CDSEM模型来获得有效计量高度的洞察力模拟
作者:
Chao Fang
;
Trey Graves
;
Alessandro Vaglio Pret
;
Stewart Robertson
;
Mark Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
CD-SEM Simulator;
photolithography simulation;
metrology height;
EPE;
28.
Device Level 3D Characterization using PeakForce AFM
机译:
使用Peakforce AFM的设备级别3D表征
作者:
Padraig Timoney
;
Xiaoxiao Zhang
;
Alok Vaid
;
Sean Hand
;
Jason Osborne
;
Eric Milligan
;
Adam Feinstein
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
AFM;
3D;
Peak Force Tapping;
In-Die;
Metrology;
Fin;
Gate;
14nm;
29.
Challenges in LER/CDU metrology in DSA: Placement error and cross-line correlations
机译:
DSA中LER / CDU计量中的挑战:放置误差和串联相关性
作者:
Vassilios Constantoudis
;
Vijaya-Kumar Murugesan Kuppuswamy
;
Evangelos Gogolides
;
Alessandro Vaglio Pret
;
Hari Pathangi
;
Roel Gronheid
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Line Edge Roughness (LER);
Line Width Roughness (LWR);
Line Center Roughness (LCR);
Directed Self-Assembly Lithography (DSAL);
correlation length;
placement error;
cross-line correlations;
line wiggling;
30.
Scatterometry modeling for gratings with roughness and irregularities
机译:
粗糙度和脱模光栅的散射测定模型
作者:
Joerg Bischoff
;
Karl Hehl
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
31.
Root Cause Analysis of Overlay metrology excursions with Scatterometry overlay technology (SCOL)
机译:
散射测量覆盖技术(SCOL)覆盖物质偏移的根本原因分析
作者:
Karsten Gutjahr
;
Dongsuk Park
;
Yue Zhou
;
Winston Cho
;
Ki Cheol Ahn
;
Patrick Snow
;
Richard McGowan
;
Tal Marciano
;
Vidya Ramanathan
;
Pedro Herrera
;
Tal Itzkovich
;
Janay Camp
;
Michael Adel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
SCOL;
Diffraction based overlay;
Root Cause Analysis;
overlay;
target design;
accuracy;
litho metrology;
32.
Improving OCD Time to Solution using Signal Response Metrology
机译:
使用信号响应计量改善解决方案的OCD时间
作者:
Fang Fang
;
Xiaoxiao Zhang
;
Alok Vaid
;
Stilian Pandev
;
Dimitriy Sanko
;
Vidya Ramanathan
;
Kartik Venkataraman
;
Ronny Haupt
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Modeless optical metrology;
in-line metrology;
Lithography;
Etch;
Focus;
Dose;
CD;
Accuracy;
33.
Within-Wafer CD Variation Induced by Wafer Shape
机译:
晶片形状引起的晶晶段内变化
作者:
Chi-hao Huang
;
Mars Yang
;
Elvis Yang
;
T. H. Yang
;
K. C. Chen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
within wafer CD variation;
wafer shape;
film stress;
3D NAND;
DoseMapper;
34.
Process monitor of 3D-device features by using FIB and CD-SEM
机译:
使用FIB和CD-SEM处理3D设备功能的过程监视器
作者:
Hiroki Kawada
;
Masami Ikota
;
Hideo Sakai
;
Shota Torikawa
;
Satoshi Tomimatsu
;
Tsuyoshi Ohnishi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
CD-SEM;
FIB;
3D;
metrology;
cross section;
uniformity;
distribution;
wafer map;
35.
HVM Metrology Challenges towards the 5 nm Node
机译:
HVM Metrologology对5 NM节点的挑战
作者:
Benjamin Bunday
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
metrology;
gaps analysis;
critical dimension;
defect;
films;
CD-SEM;
OCD;
X-ray;
EBI;
36.
Detection of Metallic Buried Void by Effective Density Contrast Mode
机译:
通过有效密度对比模式检测金属掩埋空隙
作者:
Ming Lei
;
Kevin Wu
;
Qing Tian
;
Kewen Gao
;
Yaqiong Chen
;
Haokun Hu
;
Derek Tomlinson
;
Chris Lei
;
Yan Zhao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
EBI;
effective density contrast;
backscattering electron;
buried void;
37.
Hybrid-enabled Thin Film Metrology using XPS and Optical
机译:
使用XPS和光学启用混合的薄膜计量
作者:
Alok Vaid
;
Givantha Iddawela
;
Sridhar Mahendrakar
;
Michael Lenahan
;
Mainul Hossain
;
Padraig Timoney
;
Abner F. Bello
;
Cornel Bozdog
;
Heath Pois
;
Wei Ti Lee
;
Mark Klare
;
Michael Kwan
;
Byung Cheol Kang
;
Paul Isbester
;
Matthew Sendelbach
;
Naren Yellai
;
Prasad Dasari
;
Tom Larson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
OCD;
scatterometry;
virtual metrology;
reference metrology;
hybrid metrology;
HESS;
XPS;
XRF;
38.
Automated klarf-based defect inspection by electron-beam inspection tool: a novel approach to inline monitoring and/or process change validation
机译:
基于klarf的缺陷检查通过电子束检查工具:一种内联监测和/或过程变更验证的新方法
作者:
Na Cai
;
Xuefeng Zeng
;
Kevin Wu
;
Ho Young Song
;
Weihong Gao
;
Qing Tian
;
Chris Lei
;
Kewen Gao
;
Liuchen Wang
;
Yan Zhao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Klarf-based inspection;
e-beam;
BEOL;
dark VC;
buried voids;
39.
Lensless hyperspectral spectromicroscopy with a tabletop extreme-ultraviolet source
机译:
透镜高光谱光谱法与桌面极端紫外源
作者:
Dennis F. Gardner
;
Bosheng Zhang
;
Matthew H. Seaberg
;
Elisabeth R. Shanblatt
;
Christina L. Porter
;
Robert Karl
;
Christopher Mancuso
;
Henry C. Kapteyn
;
Margaret M. Murnane
;
Daniel E. Adams
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
EUV;
ptychography;
phase retrieval;
high-harmonic generation;
coherent diffractive imaging;
40.
Focus control enhancement and on-product focus response analysis methodology
机译:
聚焦控制增强和产品焦点响应分析方法
作者:
Young Ki Kim
;
Yen-Jen Chen
;
Xueli Hao
;
Pavan Samudrala
;
Juan-Manuel Gomez
;
Mark O. Mahoney
;
Ferhad Kamalizadeh
;
Justin K. Hanson
;
Shawn Lee
;
Ye Tian
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
DBF (Diffraction Based Focus);
HVM (High Volume Manufacturing);
On-product focus control;
41.
A Novel Mask Structure for Measuring the Defocus of Scanner
机译:
一种用于测量扫描仪散焦的新型掩模结构
作者:
Lisong Dong
;
Zhiyang Song
;
Xiaojing Su
;
Yayi Wei
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Focus;
phase shift;
grating;
measuring;
lithography;
42.
Virtual rough samples to test 3D nanometer-scale scanning electron microscopy stereo photogrammetry
机译:
虚拟粗糙样本以测试3D纳米级扫描电子显微镜立体声摄影测量
作者:
J.S. Villarrubia
;
V.N. Tondare
;
A.E. Vladar
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
critical dimension (CD);
dimensional metrology;
model-based metrology;
scanning electron microscopy (SEM);
simulation;
stereo photogrammetry;
surface roughness;
virtual sample;
43.
A Novel Method to Quantify the Complex Mask Patterns
机译:
一种量化复杂掩模模式的新方法
作者:
Yu-Lung Tung
;
Che-Yuan Sun
;
Shu-Chuan Chuang
;
Woei-Bin Luo
;
Jia-Rui Hu
;
Hsiang-Lin Chen
;
Hua-Tai Lin
;
Chih-Ming Ke
;
Tsai-Sheng Gau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Mask Corner Rounding (MCR);
Mask Error;
Mask pattern fidelity;
44.
Overlay metrology performance prediction fidelity: The factors enabling a successful target design cycle
机译:
覆盖计量性能预测保真度:实现目标设计周期的因素
作者:
Inna Tarshish-Shapir
;
Eitan Hajaj
;
Greg Gray
;
Jeffery Hodges
;
Jianming Zhou
;
Sarah Wu
;
Sam Moore
;
Guy Ben-Dov
;
Chen Dror
;
Zeev Lindenfeld
;
David Gready
;
Mark Ghinovker
;
Mike Adel
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
optical metrology;
target design;
overlay;
scatterometry;
overlay simulations;
film measurement;
SCOL;
DBO;
OCD;
MTD;
45.
Comparison Study of Diffraction Based Overlay and Image Based Overlay Measurements on Programmed Overlay Errors
机译:
基于衍射的覆盖和图像基于图像的覆盖率测量的比较研究
作者:
Haiyong Gao
;
Woong Jae Chung
;
Nyan Aung
;
Lokesh Subramany
;
Pavan Samudrala
;
Juan-Manuel Gomez
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
Diffraction based Overlay;
Image Based Overlay;
interfiled high order process correction;
intra-field high order process correction;
programmed OVL errors;
46.
An Ultrasensitive Bio-surrogate for Nanoporous Filter Membrane Performance Metrology Directed towards Contamination Control in Microlithography Applications
机译:
用于纳米多孔过滤膜性能计量的超敏感生物替代物,用于微光学应用中的污染控制
作者:
Farhan Ahmad
;
Barbara Mish
;
Jian Qiu
;
Amarnauth Singh
;
Rao Varanasi
;
Eilidh Bedford
;
Martin Smith
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Filtration;
nanoporous;
filter membrane;
nanoparticle;
metrology;
DNA-dendrimer conjugate;
lithography;
contaminant;
47.
Detection of Electrical Defects with SEMVision in Semiconductor Production Mode Manufacturing
机译:
半导体生产模式制造中半导体的电缺陷检测电缺陷
作者:
Travis Newell
;
Brock Tillotson
;
Haim Pearl
;
Andrei Miller
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Electrical Defects;
Hot Spots;
Patterning Defects;
Underetching;
Efficient Line Sampling;
Automatic Process Inspection;
SEM Automatic Review;
48.
Scatterometry-based metrology for SAQP pitch walking using virtual reference
机译:
基于散射测量的SAQP间距使用虚拟参考的计量
作者:
Taher Kagalwala
;
Alok Vaid
;
Sridhar Mahendrakar
;
Michael Lenahan
;
Fang Fang
;
Paul Isbester
;
Michael Shifrin
;
Yoav Etzioni
;
Aron Cepler
;
Naren Yellai
;
Prasad Dasari
;
Cornel Bozdog
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
SAQP;
Pitch walking;
OCD;
scatterometry;
virtual reference;
reference metrology;
TEM;
49.
Accuracy in optical overlay metrology
机译:
光学叠加计量中的准确性
作者:
Barak Bringoltz
;
Tal Marciano
;
Tal Yaziv
;
Yaron DeLeeuw
;
Dana Klein
;
Yoel Feler
;
Ido Adam
;
Evgeni Gurevich
;
Noga Sella
;
Zeev Lindenfeld
;
Tom Leviant
;
Lilach Saltoun
;
Eltsafon Ashwal
;
Dror Alumot
;
Yuval Lamhot
;
Xindong Gao
;
James Manka
;
Bryan Chen
;
Mark Wagner
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
accuracy;
process variations;
scatterometry;
imaging;
IBO;
DBO;
uDBO;
SCOL;
50.
Application of Overlay Modeling and Control with Zernike Polynomials in an HVM Environment
机译:
覆盖层建模与控制在HVM环境中的Zernike多项式的应用
作者:
JawWuk Ju
;
MinGyu Kim
;
JuHan Lee
;
Jeremy Nabeth
;
Sanghuck Jeon
;
Hoyoung Heo
;
John C. Robinson
;
Bill Pierson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
Stability;
Zernike;
51.
Mixed-mode, high-order multi-patterning control strategy with small-spot, optical CD metrology on device structures
机译:
混合模式,高阶多图案化控制策略,具有小点,光学CD计量在装置结构上
作者:
Hugo Cramer
;
Baukje Wisse
;
Stefan Kruijswijk
;
Thomas Theeuwes
;
Yi Song
;
Wei Guo
;
Alok Verma
;
Rui Zhang
;
Yvon Chai
;
Sharon Hsu
;
Rahul Khandelwal
;
Giacomo Miceli
;
Steven Welch
;
Kyu-Tae Sun
;
Taeddy Kim
;
Jin-Moo Byun
;
Sang-Hoon Jung
;
Moo-Young Seo
;
Hyun-Sok Kim
;
Dong-Gyu Park
;
Jong-Mun Jeong
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
OCD;
Scatterometry;
CD control;
Target size;
DRAM;
In-cell metrology;
SADP;
pitch walking;
52.
Electric Fields in Scanning Electron Microscopy Simulations
机译:
扫描电子显微镜模拟中的电场
作者:
K. T. Arat
;
J. Bolten
;
T. Klimpel
;
N. Unal
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
SEM;
Monte Carlo Simulation;
Multigrid Method;
Charging;
SEM Metrology;
SEM Inspection;
Cleanroom Experiments;
53.
GPU accelerated Monte-Carlo simulation of SEM images for metrology
机译:
GPU加速Monte-Carlo Month Moverogy SEM图像模拟
作者:
T. Verduin
;
S. R. Lokhorst
;
C. W. Hagen
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Simulation;
Monte Carlo methods;
CUDA;
Dimensional metrology;
Scanning electron microscopy;
54.
Optical Metrology Solutions for 10nm Films Process Control Challenges
机译:
10NM薄膜过程控制挑战的光学计量解决方案
作者:
Sridhar Mahendrakar
;
Alok Vaid
;
Kartik Venkataraman
;
Michael Lenahan
;
Steven Seipp
;
Fang Fang
;
Shweta Saxena
;
Dawei Hu
;
Nam Hee Yoon
;
Da Song
;
Janay Camp
;
Zhou Ren
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Thickness;
in-line metrology;
Ellipsometry;
multi-channel;
FinFET;
Films on grating;
55.
Next generation of decision making software for nanopatterns characterization: application to semiconductor industry
机译:
下一代决策软件用于纳米模式表征:在半导体行业的应用
作者:
A. Derville
;
A. Labrosse
;
Y. Zimmermann
;
J. Foucher
;
R. Gronheid
;
C. Boeckx
;
A. Singh
;
P. Leray
;
S. Halder
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
metrology software;
image processing;
data analysis;
process characterization;
defect inspection;
56.
Spectroscopic imaging of buried layers in 2+1D via tabletop ptychography with high-harmonic EUV illumination
机译:
通过桌面pTychography与高谐波EUV照明的2 + 1D中埋层的光谱成像
作者:
Dennis F. Gardner
;
Christina L. Porter
;
Elisabeth R. Shanblatt
;
Giulia F. Mancini
;
Robert Karl
;
Michael Tanksalvala
;
Charles Bevis
;
Henry C. Kapteyn
;
Margaret M. Murnane
;
Daniel E. Adams
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
ptychography;
EUV;
coherent diffractive imaging (CDI);
high-harmonic generation;
57.
Large dynamic range Atomic Force Microscope for overlay improvements
机译:
用于覆盖改进的大动态范围原子力显微镜
作者:
Stefan Kuiper
;
Erik Fritz
;
Will Crowcombe
;
Thomas Liebig
;
Geerten Kramer
;
Gert Witvoet
;
Tom Duivenvoorde
;
Ton Overtoom
;
Ramon Rijnbeek
;
Erwin van Zwet
;
Anton van Dijsseldonk
;
Arie den Boef
;
Marcel Beems
;
Leon Levasier
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Scanning probe microscopy;
SPM;
Atomic Force Microscopy;
AFM;
overlay;
58.
Patterning and Imaging with Electrons: Assessing Multi-Beam SEM for e-Beam Structured CMOS Samples
机译:
用电子进行图案化和成像:评估电子束结构CMOS样品的多光束SEM
作者:
Tomasz Garbowski
;
Friedhelm Panteleit
;
Gregor Dellemann
;
Manuela Gutsch
;
Christoph Hohle
;
Elke Reich
;
Matthias Rudolph
;
Katja Steidel
;
Xaver Thrun
;
Dirk Zeidler
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
e-beam inspection;
defect inspection;
multibeam;
massively parallel;
wafer inspection;
59.
XPS-XRF Hybrid Metrology enabling FDSOI Process
机译:
XPS-XRF混合测量能够实现FDSOI过程
作者:
Mainul Hossain
;
Ganesh Subramanian
;
Dina Triyoso
;
Jeremy Wahl
;
Timothy Mcardle
;
Alok Vaid
;
A.F. Bello
;
Wei Ti Lee
;
Mark Klare
;
Michael Kwan
;
Heath Pois
;
Ying Wang
;
Tom Larson
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Hybrid Metrology;
X-ray Photoelectron Spectroscopy (XPS);
X-ray Fluorescence (XRF);
Fully depleted Silicon-on-Insulator (FDSOI);
60.
Triple AIM Evaluation and Application in Advanced Node
机译:
在高级节点中的三重目标评估和应用
作者:
Gary Ch Wang
;
En Chuan Lio
;
Yuting Hung
;
Charlie Chen
;
Sybil Wang
;
Tang Chun Weng
;
Bill Lin
;
Chun Chi Yu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
61.
Monitoring of ion implantation in microelectronics production environment using multi-channel reflectometry
机译:
利用多通道反射测量监测微电子生产环境中的离子植入
作者:
Peter Ebersbach
;
Adam M. Urbanowicz
;
Dmitriy Likhachev
;
Carsten Hartig
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Implantation control;
multi-channel reflectometry;
optical modeling;
scatterometry;
OCD;
high-k technology;
62.
Highly sensitive focus monitoring technique based on illumination and target co-optimization
机译:
基于照明和目标共同优化的高敏感聚焦监测技术
作者:
Myungjun Lee
;
Mark D. Smith
;
Pradeep Subrahmanyan
;
Ady Levy
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Focus monitoring technique;
Off-axis illumination;
Process control;
Focus variation;
and Pattern placement error (PPE);
Non-telecentricity;
63.
Simulation of shotnoise induced side-wall roughness in electron lithography
机译:
电子光刻中射击诱导侧壁粗糙度的仿真
作者:
T. Verduin
;
S. R. Lokhorst
;
C. W. Hagen
;
P. Kruit
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Simulation;
Monte Carlo methods;
Dimensional metrology;
Lithography;
Shotnoise;
64.
EUV blank defect and particle inspection with High throughput Immersion AFM with 1nm 3D resolution
机译:
高通量浸没AFM具有1nm的eUV空白缺陷和粒子检查
作者:
Maarten H. van Es
;
Hamed Sadeghian
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
AFM;
EUV mask;
massively parallel;
throughput;
65.
Design guided data analysis for summarizing systematic pattern defects and process window
机译:
设计引导数据分析,总结系统模式缺陷和过程窗口
作者:
Qian Xie
;
Panneerselvam Venkatachalam
;
Julie Lee
;
Zhijin Chen
;
Khurram Zafar
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Process Window Qualification (PWQ);
Contour Extraction;
Contour-to-Design Alignment;
Contour- to-Design Defect Detection;
Pattern Retrieval;
Pattern-Defect Overlay;
Weak-Pattern Ranking;
66.
Electromagnetic field modeling for defect detection in 7 nm node patterned wafers
机译:
7 NM节点缺陷检测的电磁场模型图案晶圆
作者:
Jinlong Zhu
;
Kedi Zhang
;
Nima Davoudzadeh
;
Xiaozhen Wang
;
Lynford L. Goddard
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
boundary element method;
defect inspection;
electromagnetic field modeling;
67.
Simultaneous AFM Nano-Patterning and Imaging for Photomask Repair
机译:
用于光掩模修复的同时AFM纳米图案化和成像
作者:
Aliasghar Keyvani
;
Mehmet S. Tamer
;
Maarten H. van Es
;
Hamed Sadeghian
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Photomask Repair;
AFM;
Tip-sample interactions;
Nano-Machining;
Nano-Scribing;
68.
Study of Design-based e-beam Defect Inspection for HotSpot Detection and Process Window Characterization on 10nm Logic Device
机译:
基于设计的热点检测和过程窗口表征的基于设计的电子束缺陷检查,10nm逻辑装置
作者:
Philippe Leray
;
Sandip Halder
;
Paolo Di Lorenzo
;
Fei Wang
;
Pengcheng Zhang
;
Wei Fang
;
Kevin Liu
;
Jack Jau
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
process window characterization;
design-based e-beam defect inspection;
hot spot;
intra-field;
Die to Die (D2D);
Die to Database (D2DB);
69.
Characterization of cross-sectional profile of resist L/S and hole pattern using CD-SAXS
机译:
CD-SAXS抗蚀剂L / S和孔图案横截面轮廓的表征
作者:
Y. Ito
;
A. Higuchi
;
K. Omote
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
GI-SAXS;
CD-SAXS;
CD;
cross-sectional profile;
resist;
line pattern;
hole pattern;
70.
Process Window Optimizer for pattern based defect prediction on 28nm Metal Layer
机译:
基于模式的缺陷预测处理窗口优化器28nm金属层
作者:
P. Fanton
;
R. La Greca
;
V. Jain
;
C. Prentice
;
J-G. Simiz
;
S. Hunsche
;
B. Le-Gratiet
;
L. Depre
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
71.
Image-based overlay (IBO) target segment design on self-aligned patterning process
机译:
自对准图案化过程基于图像的覆盖层(IBO)目标段设计
作者:
Lei Ye
;
Huayong Hu
;
Weiming He
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
SADP;
Overlay;
segmentation;
72.
Analysis of Wafer Heating in 14nm DUV Layers
机译:
14NM DUV层晶片加热分析
作者:
Lokesh Subramany
;
Woong Jae Chung
;
Pavan Samudrala
;
Haiyong Gao
;
Nyan Aung
;
Juan Manuel Gomez
;
Blandine Minghetti
;
Shawn Lee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
Residual;
Scanner;
Overlay Control;
wafer heating;
73.
Scan Direction Induced Charging Dynamics and the Application for Detection of Gate to S/D Shorts in Logic Devices
机译:
扫描方向诱导充电动力学和逻辑设备中检测到S / D短路的应用程序
作者:
Ming Lei
;
Qing Tian
;
Kevin Wu
;
Yan Zhao
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
EBI;
charging dynamics;
scan direction;
gate to source/drain short;
74.
3D-Profile Measurement of Advanced Semiconductor Features by Reference Metrology
机译:
3D轮廓通过参考计量测量高级半导体特征
作者:
Kiyoshi Takamasu
;
Yuuki Iwaki
;
Satoru Takahashi
;
Hiroki Kawada
;
Masami Ikota
;
Gian F. Lorusso
;
Naoto Horiguchi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
FIB-to-CDSEM method;
3D-profile;
CMOS sensor device;
TEM;
CD-SEM;
Reference metrology;
75.
Identification of multilayer structures using secondary electron yield curves: Effect of native oxide films on EUV-patterned mask inspection
机译:
使用二次电子产量曲线识别多层结构:天然氧化膜对EUV图案掩模检查的影响
作者:
Susumu Iida
;
Kaoru Ohya
;
Ryoichi Hirano
;
Hidehiro Watanabe
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
EUV mask;
Projection electron microscope;
Patterned mask inspection;
Secondary electron yield;
Monte Carlo simulation;
76.
Measurement of asymmetric side wall angles by coherent scanning Fourier scatterometry
机译:
相干扫描傅里叶散射测量不对称侧壁角度的测量
作者:
M. L. Godecke
;
S. Peterhansel
;
K. Frenner
;
W. Osten
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Optical metrology;
coherent Fourier scatterometry;
sub-wavelength structure inspection;
diffraction grating;
asymmetry;
side wall angle;
77.
Resist 3D model based OPC for 28nm metal process window enlargement
机译:
基于3D模型的OPC为28nm金属工艺窗口扩大
作者:
P. Fanton
;
J.C. Le Denmat
;
C. Gardiola
;
A. Pelletier
;
F. Foussadier
;
C. Gardin
;
J. Planchot
;
A. Szucs
;
O. Ndiaye
;
N. Martin
;
L. Depre
;
F. Robert
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
78.
Surface profile measurement of highly reflective silicon wafer using wavelength tuning interferometer
机译:
使用波长调谐干涉仪测量高反射硅晶片的表面轮廓测量
作者:
Yangjin Kim
;
Naohiko Sugita
;
Mamoru Mitsuishi
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Fringe analysis;
interferometry;
metrology;
nondestructive testing;
79.
A new approach to process control using Instability Index
机译:
使用不稳定索引来处理控制的新方法
作者:
Jeffrey Weintraub
;
Scott Warrick
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Statistical process control;
run length distribution;
average run length;
geometric approximation to run length distribution;
sampling distribution of observed average run length;
automated instability detection;
80.
Improving focus performance at litho using diffraction-based focus metrology, novel calibration methods, interface and control loop
机译:
使用基于衍射的焦点计量,新颖的校准方法,接口和控制回路来提高LITHO的焦点性能
作者:
Jiarui Hu
;
YL Chen
;
KH Chen
;
Brian Lee
;
Frankie Tsai
;
C.M. Ke
;
CH Liao
;
Desmond Ngo
;
Benny Gosali
;
Robin Tijssen
;
Vincent Huang
;
Ward Tu
;
Marc Noot
;
Maryana Escalante Marun
;
Christian Leewis
;
Carlo Luijten
;
Frank Staals
;
Martijn Van Veen
;
Francois Furthner
;
Stuart Young
;
Kaustuve Bhattacharyya
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Focus;
on-product;
diffraction;
scatterometer;
metrology;
accuracy;
process robustness;
target design;
HVM;
81.
Excursion detection using leveling data
机译:
使用调平数据进行偏移检测
作者:
MinGyu Kim
;
Jaewuk Ju
;
Boris Habets
;
Georg Erley
;
Enrico Bellmann
;
Seop Kim
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Focus;
Leveling;
Monitoring;
Chuck Fingerprints;
Chuck Cleaning;
Pre-Process Influence;
Overlay;
HVM;
Wafer Edge;
Obsidian;
OVALiS;
82.
Reliable characterization of materials and nanostructured systems 50nm using coherent EUV beams
机译:
材料和纳米结构系统的可靠性表征 50NM使用相干EUV梁
作者:
Jorge Hernandez-Charpak
;
Travis Frazer
;
Joshua Knobloch
;
Kathleen Hoogeboom-Pot
;
Damiano Nardi
;
Weilun Chao
;
Lei Jiang
;
Marie Tripp
;
Sean King
;
Henry Kapteyn
;
Margaret Murnane
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Ultrafast X-Rays;
nanometrology;
nano-mechanical properties;
ultrathin films;
nondiffusive thermal transport;
mean free path spectroscopy;
photoacoustic;
photothermal;
83.
Study of correlation between overlay and displacement measured by Coherent Gradient Sensing (CGS) interferometry
机译:
通过相干梯度传感(CGS)干涉测量覆盖与位移之间的相关性研究
作者:
Jeffrey Mileham
;
Yasushi Tanaka
;
Doug Anberg
;
David M. Owen
;
Byoung-Ho Lee
;
Eric Bouche
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
displacement;
overlay;
Coherent Gradient Sensing (CGS);
84.
Improving Scanner Wafer Alignment Performance by Target Optimization
机译:
通过目标优化提高扫描仪晶片对齐性能
作者:
Philippe Leray
;
Christiane Jehoul
;
Robert Socha
;
Boris Menchtchikov
;
Sudhar Raghunathan
;
Eric Kent
;
Hielke Schoonewelle
;
Patrick Tinnemans
;
Paul Tuffy
;
Jun Belen
;
Rich Wise
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
85.
Enhancement of Intrafield Overlay using a Design Based Metrology system
机译:
使用基于设计的计量系统来增强Intradife覆盖层
作者:
Gyoyeon Jo
;
Sunkeun Ji
;
Shinyoung Kim
;
Hyunwoo Kang
;
Minwoo Park
;
Sangwoo Kim
;
Jungchan Kim
;
Chanha Park
;
Hynjo Yang
;
Kotaro Maruyama
;
Byungjun Park
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
DBM(Design Based Metrologgy);
Overlay;
86.
Overlay Optimization for 1x node technology and beyond via Rule based Sparse Sampling
机译:
基于规则的稀疏采样覆盖1x节点技术及更换的优化
作者:
Nyan Lynn Aung
;
Woong Jae Chung
;
Lokesh Subramany
;
Shehzeen Hussain
;
Pavan Samudrala
;
Haiyong Gao
;
Xueli Hao
;
Yen-Jen Chen
;
Juan-Manuel Gomez
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
Sampling;
Metrology;
Sparse Sampling;
Residual;
Overlay Control;
Higher-order Process Correction;
Correction per Exposure (CPE);
87.
Process tool monitoring and matching using interferometry technique
机译:
使用干涉测量技术进行过程工具监控和匹配
作者:
Doug Anberg
;
David M. Owen
;
Jeffrey Mileham
;
Byoung-Ho Lee
;
Eric Bouche
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
process tool monitoring;
chamber matching;
CGS interferometry;
topography measurement;
stress measurement;
displacement;
88.
Sensitivity Study and Parameter Optimization of OCD Tool for 14 nm FinFET Process
机译:
14 nm FinFET过程OCD工具的敏感性研究与参数优化
作者:
Zhensheng Zhang
;
Huiping Chen
;
Shiqiu Cheng
;
Yunkun Zhan
;
Kun Huang
;
Yaoming Shi
;
Yiping Xu
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Scatterometry;
critical dimension;
FinFET;
sensitivity;
OCD;
89.
Electrostatic risks to reticles and damage prevention methodology
机译:
静电风险与栓塞和损伤预防方法
作者:
Gavin C. Rider
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Reticle ESD;
progressive defects;
reticle damage;
electrostatic damage;
EFM;
CD degradation;
chrome migration;
Reticle protection;
90.
Oblique Incidence Scatterometry for 2D/3D Isolation Mounts with RCWA and PML.
机译:
倾斜入射散射测定法为2D / 3D隔离安装,具有RCWA和PML。
作者:
Hirokimi Shirasaki
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Scatterometry;
RCWA;
PML;
3D isolation structure;
3D isolation mounts;
91.
The effect of materials selection on metals reduction in propylene glycol methyl ether acetate, PGMEA
机译:
材料选择对丙二醇甲基醚乙酸甲酯,PGMEA的效果
作者:
Majid Entezarian
;
Bob Geiger
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Metal reduction;
photolithography;
defect;
PGMEA;
nylon;
polypropylene;
polyethylene;
micro-bridging;
92.
Hybrid Overlay Metrology for High order correction by using CDSEM
机译:
使用CDSEM的高阶校正的混合覆盖计量
作者:
Philippe Leray
;
Sandip Halder
;
Gian Lorusso
;
Bart Baudemprez
;
Osamu Inoue
;
Yutaka Okagawa
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
CDSEM;
Optical;
accuracy;
93.
Enabling Quantitative Optical Imaging for In-die-capable Critical Dimension Targets
机译:
使能功能的临界尺寸目标的定量光学成像
作者:
B.M. Barnes
;
M.-A. Henn
;
M. Y. Sohn
;
H. Zhou
;
R. M. Silver
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
optical metrology;
electromagnetic simulation;
normalized sensitivities;
parametric uncertainties;
phase sensitive measurements;
through-focus three-dimensional field;
94.
Reducing overlay sampling for APC-based correction per exposure by replacing measured data with computational prediction
机译:
通过用计算预测替换测量数据来减少每个曝光的基于APC的校正的叠加采样
作者:
Ben F. Noyes
;
Babak Mokaberi
;
Jong Hun Oh
;
Hyun Sik Kim
;
Jun Ha Sung
;
Marc Kea
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
APC;
CPE;
overlay;
sampling;
integrated metrology;
95.
Studying Post-etching Silicon Crystal Defects on 300 mm Wafer by Automatic Defect Review AFM
机译:
通过自动缺陷综述AFM研究300 mm晶圆上的蚀刻后硅晶体缺陷
作者:
Ardavan Zandiatashbar
;
Patrick A. Taylor
;
Byong Kim
;
Young-kook Yoo
;
Keibock Lee
;
Ahjin Jo
;
Ju Suk Lee
;
Sang-Joon Cho
;
Sang-il Park
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
AFM;
defect review;
defect inspection;
defect inspection;
etching;
light scattering;
metrology;
process control;
SEM;
96.
New approaches in diffraction based optical metrology
机译:
基于衍射光学计量的新方法
作者:
M. Ebert
;
P. Vanoppen
;
M. Jak
;
G. v.d. Zouw
;
H. Cramer
;
T. Nooitgedagt
;
H. v.d. Laan
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Metrology;
Overlay;
Critical Dimensions;
Scatterometry;
Lithography;
97.
Modeling Metrology for Calibration of OPC Models
机译:
OPC型号校准的建模计量
作者:
Chris A. Mack
;
Ananthan Raghunathan
;
John Sturtevant
;
Yunfei Deng
;
Christian Zuniga
;
Kostas Adam
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
98.
Metrology target design (MTD) solution for diagonally orientated DRAM layer
机译:
对角导向DRAM层的计量目标设计(MTD)解决方案
作者:
Myungjun Lee
;
Mark D. Smith
;
Michael E. Adel
;
Chia-Hung Chen
;
Chin-Chang Huang
;
Hao-Lun Huang
;
Hsueh-Jen Tsai
;
I-Lin Wang
;
Jen-Chou Huang
;
Jo-Lan Chin
;
Kuo-Yao Chou
;
Yuan-Ku Lan
;
Hsien-Yen Lung
;
Jui-Chin Yang
;
Tal Itzkovich
;
Healthy Huang
;
Yaniv Abramovitz
;
Jinyan Song
;
Chen Dror
;
Harvey Cheng
;
Ady Levy
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay metrology target design;
OPC;
Lithography;
Pattern placement error (PPE);
Process Window (PW);
Dipole illumination;
Bossung tilt;
Depth of focus (DOF);
SCOL;
AIM;
SADP;
Zernike polynomials;
99.
A study of swing-curve physics in diffraction-based overlay
机译:
基于衍射的覆盖层的摆动曲线物理研究
作者:
Kaustuve Bhattacharyya
;
Arie den Boef
;
Greet Storms
;
Joost van Heijst
;
Marc Noot
;
Kevin An
;
Noh-Kyoung Park
;
Se-Ra Jeon
;
Nang-Lyeom Oh
;
Elliott Mc Namara
;
Frank van de Mast
;
SeungHwa Oh
;
Seung Yoon Lee
;
Chan Hwang
;
Kuntack Lee
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
Overlay;
on-product;
diffraction;
DBO;
scatterometer;
metrology;
accuracy;
process robustness;
target design;
swing-curve;
100.
Assessments of Image-based and Scatterometry-based Overlay Targets
机译:
基于图像和散射仪的覆盖目标的评估
作者:
Chiew-seng Koay
;
Nelson Felix
;
Bassem Hamieh
;
Scott Halle
;
Chumeng Zheng
;
Stuart Sieg
会议名称:
《Metrology, Inspection, and Process Control for Microlithography》
|
2016年
关键词:
overlay;
scatterometry;
true overlay;
qualification;
QMerit;
simulation;
Blossom;
segmentation;
上一页
1
2
3
下一页
意见反馈
回到顶部
回到首页