掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Design, Automation & Test in Europe Conference & Exhibition;DATE 10
Design, Automation & Test in Europe Conference & Exhibition;DATE 10
召开年:
2010
召开地:
Dresden(DE);Dresden(DE)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Loosely Time-Triggered Architectures for Cyber-Physical Systems
机译:
网络物理系统的时间触发松散的体系结构
作者:
Benveniste, Albert
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
2.
Predicting energy and performance overhead of Real-Time Operating Systems
机译:
预测实时操作系统的能耗和性能开销
作者:
Penolazzi, S.
;
Sander, I.
;
Hemani, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
3.
Multicore soft error rate stabilization using adaptive dual modular redundancy
机译:
使用自适应双模块冗余的多核软错误率稳定化
作者:
Vadlamani, R.
;
Jia Zhao
;
Burleson, W.
;
Tessier, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
DVFS;
architectural vulnerability;
monitor network;
4.
MEDEA: a hybrid shared-memory/message-passing multiprocessor NoC-based architecture
机译:
MEDEA:基于共享内存/消息传递的多处理器基于NoC的混合体系结构
作者:
Tota, S.V.
;
Casu, M.R.
;
Roch, M.R.
;
Rostagno, L.
;
Zamboni, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
5.
AgeSim: A simulation framework for evaluating the lifetime reliability of processor-based SoCs
机译:
AgeSim:用于评估基于处理器的SoC的生命周期可靠性的仿真框架
作者:
Lin Huang
;
Qiang Xu
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
6.
Heterogeneous vs homogeneous MPSoC approaches for a Mobile LTE modem
机译:
移动LTE调制解调器的异构和同类MPSoC方法
作者:
Jalier, C.
;
Lattard, D.
;
Jerraya, A.A.
;
Sassatelli, G.
;
Benoit, P.
;
Torres, L.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
7.
Recursion-driven parallel code generation for multi-core platforms
机译:
多核平台的递归驱动并行代码生成
作者:
Collins, R.L.
;
Vellore, B.
;
Carloni, L.P.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
8.
Stretching the limits of FPGA SerDes for enhanced ATE performance
机译:
扩展FPGA SerDes的限制以增强ATE性能
作者:
Majid, A.M.
;
Keezer, D.C.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Automated Test Equipment(ATE);
Field Programmable Gate Array(FPGA);
Serializer/Deserializer(SerDes);
built-in self test (BIST);
high-speed testing;
loopback testing;
mult-gigahertz testing;
test enhancement;
test modules;
9.
Multi-temperature testing for core-based system-on-chip
机译:
基于内核的片上系统的多温度测试
作者:
Zhiyuan He
;
Zebo Peng
;
Eles, P.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
multi-temperature testing;
system-on-chip test;
test scheduling;
thermal-aware test;
10.
Memory testing with a RISC microcontroller
机译:
使用RISC微控制器进行内存测试
作者:
van de Goor, A.
;
Gaydadjiev, G.
;
Hamdioui, S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
ATMEL RISC microcontroller;
CPU-based memory testing;
Memory testing;
assembler language;
11.
Scenario extraction for a refined timing-analysis of automotive network topologies
机译:
场景提取可对汽车网络拓扑进行精确的时序分析
作者:
Traub, M.
;
Streichert, T.
;
Krasovytskyy, O.
;
Becker, J.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
12.
Constant-time admission control for Deadline Monotonic tasks
机译:
截止单调任务的恒定时间准入控制
作者:
Masrur, A.
;
Chakraborty, S.
;
Farber, G.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
13.
Graphical Model Debugger Framework for embedded systems
机译:
嵌入式系统的图形模型调试器框架
作者:
Kebin Zeng
;
Yu Guo
;
Angelov, C.K.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
eclipse;
embedded systems;
model debugger;
model-driven development;
14.
Exploiting inter-event stream correlations between output event streams of non-preemptively scheduled tasks
机译:
利用非抢先计划任务的输出事件流之间的事件间流相关性
作者:
Rox, J.
;
Ernst, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
15.
IP routing processing with graphic processors
机译:
图形处理器的IP路由处理
作者:
Shuai Mu
;
Xinya Zhang
;
Nairen Zhang
;
Jiaxin Lu
;
Deng, Y.S.
;
Shu Zhang
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Bloom filter;
CUDA;
DFA;
Deep packet inspection;
GPU;
router;
table lookup;
16.
An efficient distributed memory interface for many-core platform with 3D stacked DRAM
机译:
具有3D堆叠DRAM的多核平台的高效分布式内存接口
作者:
Loi, I.
;
Benini, L.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
17.
Optimization of an on-chip active cooling system based on thin-film thermoelectric coolers
机译:
基于薄膜热电冷却器的片上主动冷却系统的优化
作者:
Jieyi Long
;
Memik, S.O.
;
Grayson, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
18.
GentleCool: Cooling aware proactive workload scheduling in multi-machine systems
机译:
GentleCool:冷却多机器系统中主动感知的工作负载调度
作者:
Ayoub, R.
;
Sharifi, S.
;
Simunic Rosing, T.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
19.
A systematic approach to the test of combined HW/SW systems
机译:
一种测试硬件/软件组合系统的系统方法
作者:
Krupp, A.
;
Muller, W.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
20.
Integrated end-to-end timing analysis of networked AUTOSAR-compliant systems
机译:
联网的AUTOSAR兼容系统的集成端到端时序分析
作者:
Lakshmanan, K.
;
Bhatia, G.
;
Rajkumar, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
21.
AVGS-Mux style: A novel technology and device independent technique for reducing power and compensating process variations in FPGA fabrics
机译:
AVGS-Mux风格:一种新颖的技术和与设备无关的技术,可降低功耗并补偿FPGA架构中的工艺差异
作者:
Kheradmand-Boroujeni, B.
;
Piguet, C.
;
Leblebici, Y.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
FPGA fabric;
adaptive supply voltage;
body biasing;
inter-die process variation;
leakage;
low-power;
source biasing;
22.
A reconfigurable multiprocessor architecture for a reliable face recognition implementation
机译:
可重新配置的多处理器体系结构,可实现可靠的人脸识别
作者:
Tumeo, A.
;
Regazzoni, F.
;
Palermo, G.
;
Ferrandi, F.
;
Sciuto, D.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
23.
On the efficacy of write-assist techniques in low voltage nanoscale SRAMs
机译:
关于低压纳米SRAM中写辅助技术的功效
作者:
Chandra, V.
;
Pietrzyk, C.
;
Aitken, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
24.
Run-time spatial resource management for real-time applications on heterogeneous MPSoCs
机译:
异构MPSoC上实时应用程序的运行时空间资源管理
作者:
ter Braak, T.D.
;
Holzenspies, P.K.F.
;
Kuper, J.
;
Hurink, J.L.
;
Smit, G.J.M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
25.
Automatic workload generation for system-level exploration based on modified GCC compiler
机译:
基于修改的GCC编译器的系统级探索的自动工作负载生成
作者:
Kreku, J.
;
Tiensyrja, K.
;
Vanmeerbeeck, G.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
26.
A rapid prototyping system for error-resilient multi-processor systems-on-chip
机译:
用于容错的多处理器片上系统的快速原型系统
作者:
May, M.
;
Wehn, N.
;
Bouajila, A.
;
Zeppenfeld, J.
;
Stechele, W.
;
Herkersdorf, A.
;
Ziener, D.
;
Teich, J.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
27.
Application-specific memory performance of a heterogeneous reconfigurable architecture
机译:
异构可重配置架构的特定于应用程序的内存性能
作者:
Whitty, S.
;
Sahlbach, H.
;
Hurlburt, B.
;
Ernst, R.
;
Putzke-Roming, W.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
28.
Ultra-high throughput string matching for Deep Packet Inspection
机译:
超高吞吐量字符串匹配,用于深度数据包检测
作者:
Kennedy, A.
;
Xiaojun Wang
;
Zhen Liu
;
Bin Liu
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
29.
A HMMER hardware accelerator using divergences
机译:
使用发散度的HMMER硬件加速器
作者:
Giraldo, J.F.E.
;
Moreano, N.
;
Jacobi, R.P.
;
de Melo, A.C.M.A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Bioinformatics;
FPGA;
HMMER;
Hardware accelerator;
Hidden Markov Models;
30.
Proactive NBTI mitigation for busy functional units in out-of-order microprocessors
机译:
主动NBTI缓解无序微处理器中繁忙的功能单元
作者:
Lin Li
;
Youtao Zhang
;
Jun Yang
;
Jianhua Zhao
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
31.
Circuit propagation delay estimation through multivariate regression-based modeling under spatio-temporal variability
机译:
时空变化下基于多元回归模型的电路传播延迟估计
作者:
Ganapathy, S.
;
Canal, R.
;
Gonzalez, A.
;
Rubio, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
32.
Analytical model for TDDB-based performance degradation in combinational logic
机译:
组合逻辑中基于TDDB的性能下降的分析模型
作者:
Choudhury, M.
;
Chandra, V.
;
Mohanram, K.
;
Aitken, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
33.
Static and dynamic stability improvement strategies for 6T CMOS low-power SRAMs
机译:
6T CMOS低功耗SRAM的静态和动态稳定性改进策略
作者:
Alorda, B.
;
Torrens, G.
;
Bota, S.
;
Segura, J.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Critical Charge;
Nanometre SRAM;
Static Noise Margin;
34.
Call for papers
机译:
征集论文
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
35.
Title page
机译:
封面
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
36.
Using filesystem virtualization to avoid metadata bottlenecks
机译:
使用文件系统虚拟化避免元数据瓶颈
作者:
Artiaga, E.
;
Cortes, T.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
37.
An accurate system architecture refinement methodology with mixed abstraction-level virtual platform
机译:
混合抽象级虚拟平台的精确系统架构优化方法
作者:
Zhe-Mao Hsu
;
Jen-Chieh Yeh
;
I-Yao Chuang
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
architecture refinement;
electronic system-level (ESL);
system validation;
transaction-level modeling (TLM);
38.
Optimized self-tuning for circuit aging
机译:
针对电路老化的优化自调整
作者:
Mintarno, E.
;
Skaf, J.
;
Rui Zheng
;
Velamala, J.
;
Yu Cao
;
Boyd, S.
;
Dutton, R.W.
;
Mitra, S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
39.
Investigating the impact of NBTI on different power saving cache strategies
机译:
调查NBTI对不同节能缓存策略的影响
作者:
Ricketts, A.
;
Singh, J.
;
Ramakrishnan, K.
;
Vijaykrishnan, N.
;
Pradhan, D.K.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
40.
Energy-oriented dynamic SPM allocation based on time-slotted Cache conflict graph
机译:
基于时隙缓存冲突图的面向能源的动态SPM分配
作者:
Wang Huan
;
Zhang Yang
;
Mei Chen
;
Ling Ming
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Energy Optimization;
Scratchpad Memory;
Time-Slotted Cache Conflict Graph;
Virtual Memory System;
41.
Enhanced Q-learning algorithm for dynamic power management with performance constraint
机译:
具有性能约束的动态电源管理的增强型Q学习算法
作者:
Wei Liu
;
Ying Tan
;
Qinru Qiu
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
42.
BCDL: A high speed balanced DPL for FPGA with global precharge and no early evaluation
机译:
BCDL:适用于FPGA的高速平衡DPL,具有全局预充电功能,无需早期评估
作者:
Nassar, M.
;
Bhasin, S.
;
Danger, J.-L.
;
Duc, G.
;
Guilley, S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Differential Power Analysis;
Dual-rail Precharge Logic;
FPGA;
Side Channel Attacks;
Synchronization;
43.
Fault-based attack of RSA authentication
机译:
基于故障的RSA身份验证攻击
作者:
Pellegrini, A.
;
Bertacco, V.
;
Austin, T.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
44.
Detecting/preventing information leakage on the memory bus due to malicious hardware
机译:
检测/防止由于恶意硬件而导致的内存总线信息泄漏
作者:
Das, A.
;
Memik, G.
;
Zambreno, J.
;
Choudhary, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
45.
An embedded platform for privacy-friendly road charging applications
机译:
适用于私密性道路收费应用的嵌入式平台
作者:
Balasch, J.
;
Verbauwhede, I.
;
Preneel, B.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
46.
An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms
机译:
在多核平台上实现吞吐量最大的SDF分配和调度的有效而完整的方法
作者:
Bonfietti, A.
;
Benini, L.
;
Lombardi, M.
;
Milano, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
47.
Design of a real-time optimized emulation method
机译:
实时优化仿真方法的设计
作者:
Kerstan, T.
;
Oertel, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
48.
Security aspects in 6lowPan networks
机译:
6lowPan网络中的安全性方面
作者:
Barker, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
49.
Compact model of memristors and its application in computing systems
机译:
忆阻器的紧凑模型及其在计算系统中的应用
作者:
Hai Li
;
Miao Hu
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Memristor;
compact model;
magnetic tunneling junction (MTJ);
spin torque;
spintronic;
50.
Design space exploration of a mesochronous link for cost-effective and flexible GALS NOCs
机译:
同步链路的设计空间探索,可实现经济高效且灵活的GALS NOC
作者:
Ludovici, D.
;
Strano, A.
;
Gaydadjiev, G.N.
;
Benini, L.
;
Bertozzi, D.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
51.
An 11.6-19.3mW 0.375-13.6GHz CMOS frequency synthesizer with rail-to-rail operation
机译:
具有轨到轨操作的11.6-19.3mW 0.375-13.6GHz CMOS频率合成器
作者:
Geis, A.
;
Nuzzoz, P.
;
Ryckaert, J.
;
Rolainy, Y.
;
Vandersteeny, G.
;
Craninckx, J.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
52.
A compact digital amplitude modulator in 90nm CMOS
机译:
紧凑型90nm CMOS数字调幅器
作者:
Chironi, V.
;
Debaillie, B.
;
Baschirotto, A.
;
Craninckx, J.
;
Ingels, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
53.
Ultra-low power mixed-signal design platform using subthreshold source-coupled circuits
机译:
使用亚阈值源耦合电路的超低功耗混合信号设计平台
作者:
Tajalli, A.
;
Leblebici, Y.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
54.
Scalable codeword generation for coupled buses
机译:
耦合总线的可扩展码字生成
作者:
Karmarkar, Kedar
;
Tragoudas, Spyros
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
55.
DEW: A fast level 1 cache simulation approach for embedded processors with FIFO replacement policy
机译:
DEW:具有FIFO替换策略的嵌入式处理器的快速1级高速缓存模拟方法
作者:
Haque, M.S.
;
Peddersen, J.
;
Janapsatya, A.
;
Parameswaran, S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
56.
FlashPower: A detailed power model for NAND flash memory
机译:
FlashPower:NAND闪存的详细功耗模型
作者:
Mohan, V.
;
Gurumurthi, S.
;
Stan, M.R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
57.
Power gating design for standard-cell-like structured ASICs
机译:
用于类似标准单元的结构化ASIC的电源门控设计
作者:
Sin-Yu Chen
;
Rung-Bin Lin
;
Hui-Hsiang Tung
;
Kuen-Wey Lin
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
low power;
power-gating;
structured ASIC;
via-configurable;
58.
Design of an automotive traffic sign recognition system targeting a multi-core SoC implementation
机译:
针对多核SoC实现的汽车交通标志识别系统设计
作者:
Muller, M.
;
Braun, A.
;
Gerlach, J.
;
Rosenstiel, W.
;
Nienhuser, D.
;
Zollner, J.M.
;
Bringmann, O.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
59.
Simulation-based verification of the MOST NetInterface specification revision 3.0
机译:
MOST NetInterface规范修订版3.0的基于仿真的验证
作者:
Braun, A.
;
Bringmann, O.
;
Lettnin, D.
;
Rosenstiel, W.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
60.
Pareto efficient design for reconfigurable streaming applications on CPU/FPGAs
机译:
帕累托高效设计,可在CPU / FPGA上重新配置流应用程序
作者:
Jun Zhu
;
Sander, I.
;
Jantsch, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
61.
Automated bottleneck-driven design-space exploration of media processing systems
机译:
自动化的瓶颈驱动的媒体处理系统设计空间探索
作者:
Yang, Yang
;
Geilen, Marc
;
Basten, Twan
;
Stuijk, Sander
;
Corporaal, Henk
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Bottleneck identification;
Design-space exploration;
Synchronous dataflow;
62.
RTOS-aware refinement for TLM2.0-based HW/SW designs
机译:
支持基于TLM2.0的硬件/软件设计的RTOS感知改进
作者:
Becker, M.
;
Di Guglielmo, G.
;
Fummi, F.
;
Mueller, W.
;
Pravadelli, G.
;
Tao Xie
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
63.
Novel Physical Unclonable Function with process and environmental variations
机译:
具有过程和环境变化的新型物理不可克隆功能
作者:
Xiaoxiao Wang
;
Tehranipoor, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Environmental Variations;
Hardware Security;
IC Authentication;
PUF;
Process Variations;
64.
Ultra low-power 12-bit SAR ADC for RFID applications
机译:
适用于RFID应用的超低功耗12位SAR ADC
作者:
De Venuto, D.
;
Stikvoort, E.
;
Castro, D.T.
;
Ponomarev, Y.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
65.
A flexible UWB Transmitter for breast cancer detection imaging systems
机译:
灵活的UWB发射器,用于乳腺癌检测成像系统
作者:
Cutrupi, Massimo
;
Crepaldi, Marco
;
Casu, Mario R.
;
Graziano, Mariagrazia
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
AWG;
Breast Cancer Screening;
Pulse Generator;
Pulse-Based UWB;
Transmitter;
66.
A resilience roadmap
机译:
弹性路线图
作者:
Nassif, S.R.
;
Mehta, N.
;
Yu Cao
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
67.
Vision for cross-layer optimization to address the dual challenges of energy and reliability
机译:
跨层优化的愿景,以解决能源和可靠性的双重挑战
作者:
DeHon, A.
;
Quinn, H.M.
;
Carter, N.P.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
68.
Computation of yield-optimized Pareto fronts for analog integrated circuit specifications
机译:
模拟集成电路规格的产量优化的Pareto前沿计算
作者:
Mueller-Gritschneder, D.
;
Graeb, H.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
69.
Compilation of stream programs for multicore processors that incorporate scratchpad memories
机译:
编译包含暂存器的多核处理器的流程序
作者:
Che, Weijia
;
Panda, Amrit
;
Chatha, Karam S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
70.
A special-purpose compiler for look-up table and code generation for function evaluation
机译:
一种专用的编译器,用于查找表并生成代码以进行功能评估
作者:
Yuanrui Zhang
;
Lanping Deng
;
Yedlapalli, P.
;
Muralidhara, S.P.
;
Hui Zhao
;
Kandemir, M.
;
Chakrabarti, C.
;
Pitsianis, N.
;
Xiaobai Sun
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
71.
Multithreaded code from synchronous programs: Extracting independent threads for OpenMP
机译:
同步程序中的多线程代码:为OpenMP提取独立线程
作者:
Baudisch, D.
;
Brandt, J.
;
Schneider, K.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
72.
Automatic microarchitectural pipelining
机译:
自动微体系结构流水线
作者:
Galceran-Oms, M.
;
Cortadella, J.
;
Bufistov, D.
;
Kishinevsky, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
73.
FPGA-based adaptive computing for correlated multi-stream processing
机译:
基于FPGA的自适应计算,用于相关的多流处理
作者:
Ming Liu
;
Zhonghai Lu
;
Kuehn, W.
;
Jantsch, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
74.
Far Correlation-based EMA with a precharacterized leakage model
机译:
具有预先表征的泄漏模型的基于远相关的EMA
作者:
Meynard, O.
;
Guilley, S.
;
Danger, J.-L.
;
Sauvage, L.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Correlation EMA (CEMA);
ElectroMagnetic Analysis (EMA);
Leakage model;
Side-Channel Attacks (SCA);
Template estimation;
75.
Extended Hamiltonian Pencil for passivity assessment and enforcement for S-parameter systems
机译:
扩展的汉密尔顿铅笔,用于S参数系统的无源评估和实施
作者:
Zuochang Ye
;
Silveira, L.M.
;
Phillips, J.R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
76.
Equivalent circuit modeling of multilayered power/ground planes for fast transient simulation
机译:
多层电源/地平面的等效电路建模,可进行快速瞬态仿真
作者:
Watanabe, T.
;
Asai, H.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
component;
leapfrog algorithm;
power distribution network;
power integrity;
spice;
77.
Carbon nanotube circuits: Living with imperfections and variations
机译:
碳纳米管电路:存在缺陷和变异
作者:
Jie Zhang
;
Patil, N.
;
Lin, A.
;
Wong, H.-S.P.
;
Mitra, S.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
78.
Properties of and improvements to time-domain dynamic thermal analysis algorithms
机译:
时域动态热分析算法的性质和改进
作者:
Xi Chen
;
Dick, R.P.
;
Li Shang
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
79.
Towards assertion-based verification of heterogeneous system designs
机译:
迈向基于声明的异构系统设计验证
作者:
Lammermann, S.
;
Ruf, J.
;
Kropf, T.
;
Rosenstiel, W.
;
Viehl, A.
;
Jesser, A.
;
Hedrich, L.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
80.
Automatic generation of software TLM in multiple abstraction layers for efficient HW/SW co-simulation
机译:
在多个抽象层中自动生成软件TLM,以进行有效的硬件/软件协同仿真
作者:
Meng-Huan Wu
;
Wen-Chuan Lee
;
Chen-Yu Chuang
;
Ren-Song Tsay
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
81.
Modeling constructs and kernel for parallel simulation of accuracy adaptive TLMs
机译:
精度自适应TLM并行仿真的建模构造和内核
作者:
Salimi Khaligh, R.
;
Radetzki, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
82.
Efficient High-Level modeling in the networking domain
机译:
网络领域的高效高层建模
作者:
Zebelein, C.
;
Falk, J.
;
Haubelt, C.
;
Teich, J.
;
Dorsch, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
83.
UML design for dynamically reconfigurable multiprocessor embedded systems
机译:
用于动态可重新配置的多处理器嵌入式系统的UML设计
作者:
Vidal, J.
;
de Lamotte, F.
;
Gogniat, G.
;
Diguet, J.-P.
;
Soulard, P.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
84.
Closing the gap between UML-based modeling, simulation and synthesis of combined HW/SW systems
机译:
缩小基于硬件/软件组合系统的基于UML的建模,仿真和综合之间的差距
作者:
Mischkalla, F.
;
Da He
;
Mueller, W.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
85.
A methodology for propagating design tolerances to shape tolerances for use in manufacturing
机译:
一种将设计公差传播到形状公差以用于制造的方法
作者:
Banerjee, S.
;
Agarwal, K.B.
;
Chin-Ngai Sze
;
Nassif, S.
;
Orshansky, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
DFM;
design-intent;
process-window optical proximity correction;
tolerance bands;
86.
Enhancing double-patterning detailed routing with lazy coloring and within-path conflict avoidance
机译:
通过延迟着色和避免路径内冲突来增强双模式详细路由
作者:
Xin Gao
;
Macchiarulo, L.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Detailed Routing;
Double Pattering Technology;
87.
Efficient representation, stratification, and compression of variational CSM library waveforms using Robust Principle Component Analysis
机译:
使用稳健的主成分分析有效地表示,分层和压缩CSM库变化波形
作者:
Hatami, S.
;
Pedram, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Current Source Model;
Robust Principle Component Analysis;
Stratification;
signomial;
88.
Exploiting local logic structures to optimize multi-core SoC floorplanning
机译:
利用本地逻辑结构优化多核SoC布局
作者:
Cheng-Hong Li
;
Sonalkar, S.
;
Carloni, L.P.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
89.
Cost modeling and cycle-accurate co-simulation of heterogeneous multiprocessor systems
机译:
异构多处理器系统的成本建模和周期精确的协同仿真
作者:
van Haastregt, S.
;
Halm, E.
;
Kienhuis, B.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
90.
Differential Power Analysis enhancement with statistical preprocessing
机译:
统计预处理增强了差分功率分析
作者:
Lomne, V.
;
Dehbaoui, A.
;
Maurine, P.
;
Torres, L.
;
Robert, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
91.
Correlation controlled sampling for efficient variability analysis of analog circuits
机译:
相关控制采样,可对模拟电路进行有效的可变性分析
作者:
Jaffari, J.
;
Anis, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
92.
Formal verification of analog circuits in the presence of noise and process variation
机译:
在存在噪声和过程变化的情况下对模拟电路进行形式验证
作者:
Narayanan, R.
;
Akbarpour, B.
;
Zaki, M.H.
;
Tahar, S.
;
Paulson, L.C.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
93.
A black box method for stability analysis of arbitrary SRAM cell structures
机译:
用于任意SRAM单元结构稳定性分析的黑匣子方法
作者:
Wieckowski, M.
;
Sylvester, D.
;
Blaauw, D.
;
Chandra, V.
;
Idgunji, S.
;
Pietrzyk, C.
;
Aitken, R.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
dynamic noisemargin;
memory;
robustness;
stability;
static noise-margin;
94.
Statistical static timing analysis using Markov chain Monte Carlo
机译:
使用马尔可夫链蒙特卡洛的统计静态时序分析
作者:
Kanoria, Y.
;
Mitra, S.
;
Montanari, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
95.
KAHRISMA: A novel Hypermorphic Reconfigurable-Instruction-Set Multi-grained-Array architecture
机译:
KAHRISMA:一种新颖的超构可重构指令集多粒度阵列架构
作者:
Koenig, R.
;
Bauer, L.
;
Stripf, T.
;
Shafique, M.
;
Ahmed, W.
;
Becker, J.
;
Henkel, J.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
96.
A reconfigurable cache memory with heterogeneous banks
机译:
具有异构存储库的可重新配置缓存
作者:
Benitez, D.
;
Moure, J.C.
;
Rexachs, D.
;
Luque, E.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
Cache;
Dynamic adaptation;
Processor evaluation;
97.
VAPRES: A Virtual Architecture for Partially Reconfigurable Embedded Systems
机译:
VAPRES:部分可重新配置的嵌入式系统的虚拟体系结构
作者:
Jara-Berrocal, A.
;
Gordon-Ross, A.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
98.
Formal semantics for PSL modeling layer and application to the verification of transactional models
机译:
PSL建模层的形式语义及其在事务模型验证中的应用
作者:
Ferro, L.
;
Pierre, L.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
99.
COTS-based applications in space avionics
机译:
基于COTS的航天航空电子应用
作者:
Pignol, M.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
关键词:
COTS;
commercial electronic components;
disruptive technology;
fault-tolerant architectures;
performance limitation;
space avionics;
100.
Worst-case end-to-end delay analysis of an avionics AFDX network
机译:
航空电子AFDX网络的最坏情况端到端延迟分析
作者:
Bauer, H.
;
Scharbarg, J.-L.
;
Fraboul, C.
会议名称:
《Design, Automation & Test in Europe Conference & Exhibition;DATE 10》
|
2010年
意见反馈
回到顶部
回到首页