掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEE Colloquium on Active Sound And Vibration Control
IEE Colloquium on Active Sound And Vibration Control
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Using estimates from behavioral synthesis tools in compiler-directed design space exploration
机译:
在编译器指导的设计空间探索中使用行为综合工具的估计值
作者:
So B.
;
Diniz P.C.
;
Hall M.W.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
2.
A 16-bit mixed-signal microsystem with integrated CMOS-MEMS clock reference
机译:
具有集成CMOS-MEMS时钟参考的16位混合信号微系统
作者:
Senger R.M.
;
Marsman E.D.
;
McCorquodale M.S.
;
Gebara F.H.
;
Kraver K.L.
;
Guthaus M.R.
;
Brown R.B.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
3.
Fractional-N frequency synthesizer design at the transfer function level using a direct closed loop realization algorithm
机译:
使用直接闭环实现算法的传递函数级小数N频率合成器设计
作者:
Lau C.Y.
;
Perrott M.H.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
4.
Characterizing the effects of clock jitter due to substrate noise in discrete-time Δ/Σ modulators
机译:
表征离散时间Δ/Σ调制器中由于基板噪声引起的时钟抖动的影响
作者:
Heydari P.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
5.
A scalable software-based self-test methodology for programmable processors
机译:
可扩展的基于软件的可编程处理器自检方法
作者:
Li Chen
;
Ravi S.
;
Raghunathan A.
;
Dey S.
会议名称:
《》
|
1997年
6.
A scan BIST generation method using a Markov source and partial bit-fixing
机译:
使用马尔可夫源和部分位固定的扫描BIST生成方法
作者:
Wei Li
;
Chaowen Yu
;
Reddy S.M.
;
Pomeranz I.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
7.
Seed encoding with LFSRs and cellular automata
机译:
用LFSR和细胞自动机进行种子编码
作者:
Al-Yamani A.A.
;
McCluskey E.J.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
8.
Gain-based technology mapping for discrete-size cell libraries
机译:
离散尺寸单元库的基于增益的技术映射
作者:
Bo Hu
;
Watanabe Y.
;
Marek-Sadowska M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
9.
An O(nlogn) time algorithm for optimal buffer insertion
机译:
O(nlogn)时间算法,用于最佳缓冲区插入
作者:
Weiping Shi
;
Zhuo Li
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
10.
Optimum positioning of interleaved repeaters in bidirectional buses
机译:
双向总线中交错中继器的最佳位置
作者:
Ghoneima M.
;
Ismail Y.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
11.
Synthesizing optimal filters for crosstalk-cancellation for high-speed buses
机译:
合成最佳滤波器以消除高速总线的串扰
作者:
Ren J.
;
Greenstreet M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
12.
Fast timing-driven partitioning-based placement for island style FPGAs
机译:
用于岛式FPGA的基于时序的快速时序驱动分区
作者:
Maidee P.
;
Ababei C.
;
Bazargan K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
13.
Global resource sharing for synthesis of control data flow graphs on FPGAs
机译:
全局资源共享,用于在FPGA上合成控制数据流程图
作者:
Memik S.O.
;
Memik G.
;
Jafari R.
;
Kursun E.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
14.
Compiler-generated communication for pipelined FPGA applications
机译:
编译器生成的用于流水线FPGA应用的通信
作者:
Ziegler H.E.
;
Hall M.W.
;
Diniz P.C.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
15.
Data communication estimation and reduction for reconfigurable systems
机译:
可重构系统的数据通信估算和简化
作者:
Kaplan A.
;
Brisk P.
;
Kastner R.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
16.
Clock-tree power optimization based on RTL clock-gating
机译:
基于RTL时钟门控的时钟树功率优化
作者:
Donno M.
;
Ivaldi A.
;
Benini L.
;
Macii E.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
17.
Low-power design methodology for an on-chip with adaptive bandwidth capability
机译:
具有自适应带宽功能的片上低功耗设计方法
作者:
Bashirullah R.
;
Wentai Liu
;
Cavin R.K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
18.
Switch-level emulation
机译:
开关级仿真
作者:
Ejlali A.
;
Seyed Ghassem Miremadi
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
19.
Designing fault tolerant systems into SRAM-based FPGAs
机译:
在基于SRAM的FPGA中设计容错系统
作者:
Lima F.
;
Carro L.
;
Reis R.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
20.
Determining appropriate precisions for signals in fixed-point IIR filters
机译:
确定定点IIR滤波器中信号的适当精度
作者:
Carletta J.
;
Veillette R.
;
Krach F.
;
Fang Z.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
21.
Test generation for designs with multiple clocks
机译:
具有多个时钟的设计的测试生成
作者:
Xijiang Lin
;
Thompson R.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
22.
Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models
机译:
根据统计时间和统计故障模型提高对延迟缺陷的诊断分辨率
作者:
Krstic A.
;
Wang L.-C.
;
Cheng K.-T.
;
Liou J.-J.
;
Mak T.M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
23.
Design of a 10GHz clock distribution network using coupled standing-wave oscillators
机译:
利用耦合驻波振荡器设计10GHz时钟分配网络
作者:
OMahony F.
;
Yue C.P.
;
Horowitz M.A.
;
Wong S.S.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
24.
Physical synthesis methodology for high performance microprocessors
机译:
高性能微处理器的物理合成方法
作者:
Yiu-Hing Chan
;
Kudva P.
;
Lacey L.
;
Northrop G.
;
Rosser T.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
25.
Algorithms in FastImp: a fast and wideband impedance extraction program for complicated 3-D geometries
机译:
FastImp中的算法:针对复杂3D几何的快速宽带阻抗提取程序
作者:
Zhenhai Zhu
;
Song B.
;
White J.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
26.
Vector potential equivalent circuit based on PEEC inversion
机译:
基于PEEC反演的矢量电势等效电路
作者:
Hao Yu
;
Lei He
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
27.
Test application time and volume compression through seed overlapping
机译:
通过种子重叠测试应用时间和体积压缩
作者:
Rao W.
;
Bayraktaroglu I.
;
Orailoglu A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
28.
Test cost reduction for SOCs using TAMs and Lagrange multipliers
机译:
使用TAM和Lagrange乘法器降低SOC的测试成本
作者:
Sehgal A.
;
Iyengar V.
;
Krasniewski M.D.
;
Chakrabarty K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
29.
A retargetable microarchitecture simulator
机译:
可重定向的微体系结构模拟器
作者:
Wai Sum Mong
;
Jianwen Zhu
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
30.
Instruction set compiled simulation: a technique for fast and flexible instruction set simulation
机译:
指令集编译模拟:一种快速灵活的指令集模拟技术
作者:
Reshadi M.
;
Mishra P.
;
Dutt N.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
31.
Automated synthesis of efficient binary decoders for retargetable software toolkits
机译:
用于可重定位软件工具包的高效二进制解码器的自动合成
作者:
Wei Qin
;
Malik S.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
32.
Designing mega-ASICs in nanogate technologies
机译:
在nanogate技术中设计mega-ASIC
作者:
Lackey D.E.
;
Zuchowski P.S.
;
Koehl J.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
33.
Architecting ASIC libraries and flows in nanometer era
机译:
在纳米时代构建ASIC库和流程
作者:
Bittlestone C.
;
Hill A.
;
Singhal V.
;
Arvind N.V.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
34.
Exploring regular fabrics to optimize the performance-cost trade-off
机译:
探索常规面料以优化性能-成本之间的权衡
作者:
Pileggi L.
;
Schmit H.
;
Strojwas A.J.
;
Gopalakrishnan P.
;
Kheterpal V.
;
Koorapaty A.
;
Patel C.
;
Rovner V.
;
Tong K.Y.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
35.
Pushing ASIC performance in a power envelope
机译:
在功率范围内提高ASIC性能
作者:
Puri R.
;
Stok L.
;
Cohn J.
;
Kung D.
;
Pan D.
;
Sylvester D.
;
Srivastava A.
;
Kulkarni S.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
36.
Design of a 17-million gate network processor using a design factory
机译:
使用设计工厂设计一千七百万门网络处理器
作者:
Descamps G.-E.
;
Bagalkotkar S.
;
Ganesan S.
;
Iyengar S.
;
Pirson A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
37.
Hybrid hierarchical timing closure methodology for a high performance and low power DSP
机译:
高性能,低功耗DSP的混合分层时序收敛方法
作者:
Shi K.
;
Godwin G.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
38.
Embedded intelligent SRAM
机译:
嵌入式智能SRAM
作者:
Jain P.
;
Suh G.E.
;
Devadas S.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
39.
Improved indexing for cache miss reduction in embedded systems
机译:
改进索引功能,以减少嵌入式系统中的高速缓存未命中
作者:
Givargis T.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
40.
Memory layout techniques for variables utilizing efficient DRAM access modes in embedded system design
机译:
嵌入式系统设计中利用高效DRAM访问模式的变量的内存布局技术
作者:
Yoonseo Choi
;
Taewhan Kim
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
41.
Energy-aware design techniques for differential power analysis protection
机译:
能量敏感型设计技术,用于差分功率分析保护
作者:
Benini L.
;
Omerbegovic E.
;
Macii A.
;
Poncino M.
;
Macii E.
;
Pro F.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
42.
A timing-accurate modeling and simulation environment for networked embedded systems
机译:
用于网络嵌入式系统的定时精确的建模和仿真环境
作者:
Fummi F.
;
Perbellini G.
;
Gallo P.
;
Poncino M.
;
Martini S.
;
Ricciato F.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
43.
Application of design patterns for hardware design
机译:
设计模式在硬件设计中的应用
作者:
Damasevicius R.
;
Majauskas G.
;
Stuikys V.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
44.
A fully programmable memory management system optimizing queue handling at multi gigabit rates
机译:
完全可编程的内存管理系统,以多千兆位速率优化队列处理
作者:
Kornaros G.
;
Papaefstathiou I.
;
Nikologiannis A.
;
Zervos N.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
45.
Design flow for HW/SW acceleration transparency in the thumbpod secure embedded system
机译:
Thumbpod安全嵌入式系统中硬件/软件加速透明度的设计流程
作者:
Hwang D.
;
Bo-Cheng Lai
;
Schaumont P.
;
Sakiyama K.
;
Yi Fan
;
Shenglin Yang
;
Hodjat A.
;
Verbauwhede I.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
46.
Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451)
机译:
会议论文集2003.设计自动化会议(IEEE目录号03CH37451)
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
47.
A low-energy chip-set for wireless intercom
机译:
用于无线对讲的低能耗芯片组
作者:
Ammer M.J.
;
Sheets M.
;
Karalar T.
;
Kuulasa M.
;
Rabaey J.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
48.
Architectural selection of A/D converters
机译:
A / D转换器的架构选择
作者:
Vogels M.
;
Gielen G.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
49.
Verilog HDL, powered by PLI: a suitable framework for describing and modeling asynchronous circuits at all levels of abstraction
机译:
由PLI支持的Verilog HDL:在所有抽象级别上描述和建模异步电路的合适框架
作者:
Saifhashemi A.
;
Pedram H.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
50.
Re-use-centric architecture for a fully accelerated testbench environment
机译:
以重用为中心的架构,可全面加速测试平台环境
作者:
Henftling R.
;
Zinn A.
;
Bauer M.
;
Zambaldi M.
;
Ecker W.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
51.
A complexity effective communication model for behavioral modeling of signal processing applications
机译:
用于信号处理应用程序行为建模的复杂有效通信模型
作者:
Satya Kiran M.N.V.
;
Jayram M.N.
;
Rao P.
;
Nandy S.K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
52.
Efficient compression and application of deterministic patterns in a logic BIST architecture
机译:
逻辑BIST架构中有效压缩和确定性模式的应用
作者:
Wohl P.
;
Waicukauski J.A.
;
Patel S.
;
Amin M.B.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
53.
A 1.3GHz fifth generation SPARC64 microprocessor
机译:
1.3GHz的第五代SPARC64微处理器
作者:
Ando H.
;
Yoshida Y.
;
Inoue A.
;
Sugiyama I.
;
Asakawa T.
;
Morita K.
;
Muta T.
;
Motokurumada T.
;
Okada S.
;
Yamashita H.
;
Satsukawa Y.
;
Konmoto A.
;
Yamashita R.
;
Sugiyama H.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
54.
A 1.5GHz third generation Itanium 2 processor
机译:
1.5GHz第三代Itanium 2处理器
作者:
Stinson J.
;
Rusu S.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
55.
A cost-effective scan architecture for scan testing with nonscan test power and test application cost
机译:
具有非扫描测试能力和测试应用程序成本的经济高效的扫描架构,可用于扫描测试
作者:
Dong Xiang
;
Shan Gu
;
Jia-Guang Sun
;
Yu-liang Wu
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
56.
Verification strategy for integration 3G baseband SoC
机译:
集成3G基带SoC的验证策略
作者:
Mathys Y.
;
Chatelain A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
57.
Improvements in functional simulation addressing challenges in large, distributed industry projects
机译:
功能仿真方面的改进解决了大型分布式行业项目中的挑战
作者:
Schubert K.-D.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
58.
Improved global routing through congestion estimation
机译:
通过拥塞估计改善全局路由
作者:
Hadsell R.T.
;
Madden P.H.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
59.
Microarchitecture evaluation with physical planning
机译:
具有物理计划的微体系结构评估
作者:
Cong J.
;
Jagannathan A.
;
Reinman G.
;
Romesis M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
60.
Energy-aware MPEG-4 FGS streaming
机译:
节能型MPEG-4 FGS流
作者:
Kihwan Choi
;
Kwanho Kim
;
Pedram M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
61.
Simple metrics for slew rate of RC circuits based on two circuit moments
机译:
基于两个电路力矩的RC电路压摆率的简单指标
作者:
Agarwal K.
;
Sylvester D.
;
Blaauw D.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
62.
Post-route gate sizing for crosstalk noise reduction
机译:
布线后栅极尺寸调整,可降低串扰噪声
作者:
Becer M.R.
;
Blaauw D.
;
Algor I.
;
Panda R.
;
Chanhee Oh
;
Zolotov V.
;
Hajj I.N.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
63.
Efficient description of the design space of analog circuits
机译:
对模拟电路设计空间的有效描述
作者:
Hershenson Md.M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
64.
Author/Panelist Index
机译:
作者/评论家索引
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
65.
Shatter: efficient symmetry-breaking for Boolean satisfiability
机译:
粉碎:有效的对称性破除,布尔可满足性
作者:
Aloul F.A.
;
Markov I.L.
;
Sakallah K.A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
66.
SAT-based unbounded symbolic model checking
机译:
基于SAT的无界符号模型检查
作者:
Hyeong-Ju Kang
;
In-Cheol Park
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
67.
Power network analysis using an adaptive algebraic multigrid approach
机译:
使用自适应代数多重网格方法的电网分析
作者:
Zhengyong Zhu
;
Bo Yao
;
Chug-Kuan Cheng
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
68.
Power grid reduction based on algebraic multigrid principles
机译:
基于代数多重网格原理的电网简化
作者:
Haihua Su
;
Acar E.
;
Nassif S.R.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
69.
Generalized cofactoring for logic function evaluation
机译:
逻辑函数评估的通用协分解
作者:
Yunjian Jiang
;
Matic S.
;
Brayton R.K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
70.
Making cyclic circuits acyclic
机译:
使循环电路非循环
作者:
Edwards S.A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
71.
Implications of technology scaling on leakage reduction techniques
机译:
技术扩展对减少泄漏技术的影响
作者:
Tsai Y.-F.
;
Duarte D.
;
Vijaykrishnan N.
;
Irwin M.J.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
72.
Static leakage reduction through simultaneous threshold voltage and state assignment
机译:
通过同时设置阈值电压和状态分配来减少静态泄漏
作者:
Dongwoo Lee
;
Blaauw D.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
73.
Do's and don'ts of CTL state coverage estimation
机译:
做和不做CTL状态覆盖率估算
作者:
Jayakumar N.
;
Purandare M.
;
Somenzi F.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
74.
Constraint synthesis for environment modeling in functional verification
机译:
功能验证中环境建模的约束综合
作者:
Albin K.
;
Yuan J.
;
Aziz A.
;
Pixley C.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
75.
On-chip logic minimization
机译:
片上逻辑最小化
作者:
Lysecky R.
;
Vahid F.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
76.
Behavioral consistency of C and Verilog programs using bounded model checking
机译:
使用有界模型检查的C和Verilog程序的行为一致性
作者:
Clarke E.
;
Kroening D.
;
Yorav K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
77.
Delay and slew metrics using the lognormal distribution
机译:
使用对数正态分布的延迟和转换指标
作者:
Alpert C.J.
;
Liu F.
;
Kashyap C.
;
Devgan A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
78.
Blade and razor: cell and interconnect delay analysis using current-based models
机译:
刀片和剃须刀:使用基于当前模型的电池和互连延迟分析
作者:
Croix J.F.
;
Wong D.F.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
79.
Schedulers as model-based design elements in programmable heterogeneous multiprocessors
机译:
调度程序作为可编程异构多处理器中基于模型的设计元素
作者:
Paul J.M.
;
Bobrek A.
;
Nelson J.E.
;
Pieper J.J.
;
Thomas D.E.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
80.
Computation of noise spectral density in switched capacitor circuits using the mixed-frequency-time technique
机译:
使用混合频率时间技术计算开关电容器电路中的噪声频谱密度
作者:
Vasudevan V.
;
Ramakrishna M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
81.
Symbolic analysis of analog circuits with hard nonlinearity
机译:
具有硬非线性的模拟电路的符号分析
作者:
Manthe A.
;
Zhao Li
;
Richard Shi C.-J.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
82.
Ultimate low cost analog BIST
机译:
终极低成本模拟BIST
作者:
Negreiros M.
;
Carro L.
;
Susin A.A.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
83.
Power-aware issue queue design for speculative instructions
机译:
针对投机指令的功耗感知问题队列设计
作者:
Moreshet T.
;
Bahar R.I.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
84.
State-based power analysis for systems-on-chip
机译:
基于状态的片上系统功率分析
作者:
Bergamaschi R.A.
;
Jiang Y.W.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
85.
Using embedded infrastructure IP for SOC post-silicon verification
机译:
使用嵌入式基础架构IP进行SOC后硅验证
作者:
Yu Huang
;
Wu-Tung Cheng
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
86.
Using satisfiability in application-dependent testing of FPGA interconnects
机译:
在FPGA互连的依赖于应用程序的测试中使用可满足性
作者:
Tahoori M.B.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
87.
On-chip interconnect-aware design and modeling methodology based on high bandwidth transmission line devices
机译:
基于高带宽传输线设备的片上互连感知设计和建模方法
作者:
Goren D.
;
Zelikson M.
;
Gordin R.
;
Wagner I.A.
;
Barger A.
;
Amir A.
;
Livshitz B.
;
Sherman A.
;
Tretiakov Y.
;
Groves R.
;
Park J.
;
Jordan D.
;
Strang S.
;
Singh R.
;
Dickey C.
;
Harame D.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
88.
An adaptive window-based susceptance extraction and its efficient implementation
机译:
基于自适应窗口的电纳提取及其有效实现
作者:
Guoan Zhong
;
Cheng-Kok Koh
;
Balakrishnan V.
;
Roy K.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
89.
On test data compression and n-detection test sets
机译:
关于测试数据压缩和n检测测试集
作者:
Pomeranz I.
;
Reddy S.M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
90.
Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations
机译:
考虑晶粒内工艺变化的漏感电网压降的统计估计
作者:
Ferzli I.A.
;
Najm F.N.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
91.
Temporofunctional crosstalk noise analysis
机译:
临时功能串扰噪声分析
作者:
Chai D.
;
Kondratyev A.
;
Ran Y.
;
Tseng K.H.
;
Watanabe Y.
;
Marek-Sadowska M.
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
92.
Outdoor active noise control
机译:
户外主动噪声控制
作者:
Wright S.E.
;
Vuksanovic B.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
93.
Adaptive design of robust feedback controllers for active noise control
机译:
用于主动噪声控制的鲁棒反馈控制器的自适应设计
作者:
Rafaely B.
;
Elliott S.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
94.
Neuro-adaptive active control of noise
机译:
神经自适应主动控制噪声
作者:
Tokhi M.O.
;
Wood R.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
95.
A new adaptive multi-channel technique for vibration control: frequency domain adaptive control
机译:
振动控制的新自适应多通道技术:频域自适应控制
作者:
Mitsis L.
;
Veres S.M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
96.
Experience in the design and implementation of robust strategies for active vibration control
机译:
有效振动控制的设计和实施方面的设计和实施
作者:
Wynne R.J.
;
Sadri A.M.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
97.
Unstructured uncertainty in transducer selection for multi-channel active control systems
机译:
多通道主动控制系统的传感器选择中的非结构化不确定性
作者:
Baek K.H.
;
Elliott S.J.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
98.
Robust control of microvibrations
机译:
对微纤维的鲁棒控制
作者:
Aglietti G.
;
Rogers E.
;
Institute of Electric and Electronic Engineer
会议名称:
《IEE Colloquium on Active Sound And Vibration Control》
|
1997年
意见反馈
回到顶部
回到首页