掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
团队文献服务
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on alternative lithographic technologies VII
Conference on alternative lithographic technologies VII
召开年:
2015
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
164
条结果
1.
Directed Self Assembly (DSA) Compliant Flow with Immersion Lithography - From Material to Design and Patterning
机译:
定向自组装(DSA)符合浸入式光刻的流量 - 从材料到设计和图案
作者:
Yuansheng Ma
;
Yan Wang
;
James Word
;
Junjiang Lei
;
Joydeep Mitra
;
J. Andres Torres
;
Le Hong
;
Germain Fenger
;
Daman Khaira
;
Moshe Preil
;
Lei Yuan
;
Jongwook Kye
;
Harry J. Levinson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
grapho-epitaxy;
guiding pattern;
DSA template;
DSA grouping and decomposition;
Template Error Enhancement Factor (TEEF);
DSA material selection;
2.
Exploring the potential of Multiphoton Laser Ablation Lithography (MP-LAL) as a reliable technique for sub50 nm patterning
机译:
探索多光电激光烧蚀光刻(MP-LAL)的潜力作为SUB50 NM图案的可靠技术
作者:
Theodoros Manouras
;
Evangelos Angelakos
;
Maria Vamvakaki
;
Panagiotis Argitis
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Multiphoton Lithography;
Multiphoton processes;
Laser ablation on polymeric materials;
MP-LAL;
High resolution laser ablation;
Continuous wave laser ablation;
Methacrylate copolymers;
3.
Shape Optimization for DSA
机译:
DSA的形状优化
作者:
Gaddiel Ouaknin
;
Nabil Laachi
;
Kris Delaney
;
Glenn Fredrickson
;
Frederic Gibou
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
level-set;
shape optimization;
SCFT;
inverse problem;
4.
Defectivity prediction for droplet-dispensed UV nanoimprint lithography, enabled by fast simulation of resin flow at feature, droplet, and template scales
机译:
液滴分配的UV纳米压印光刻的缺陷预测,通过在特征,液滴和模板尺度上快速模拟树脂流动的快速模拟实现
作者:
Hayden K. Taylor
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Nanoimprint lithography;
multi-scale;
computational lithography;
simulation;
design for manufacture;
5.
Design and fabrication of electrostatic microcolumn in multiple electron-beam lithography
机译:
多重电子束光刻静电微柱的设计与制造
作者:
Zhidong Du
;
Ye Wen
;
Luis Traverso
;
Anurup Datta
;
Chen Chen
;
Xianfan Xu
;
Liang Pan
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
electron-beam lithography;
multiple beam direct write;
electron optics;
microcolumn;
maskless lithography;
6.
Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing
机译:
掩模寿命延伸的缺陷和粒子减少,以及用于高批量半导体制造的压印掩模复制
作者:
Keiji Emoto
;
Fumio Sakai
;
Chiaki Sato
;
Yukio Takabayashi
;
Hitoshi Nakano
;
Tsuneo Takashima
;
Kiyohito Yamamoto
;
Tadashi Hattori
;
Mitsuru Hiura
;
Toshiaki Ando
;
Yoshio Kawanobe
;
Hisanobu Azuma
;
Takehiko Iwanaga
;
Jin Choi
;
Ali Aghili
;
Chris Jones
;
J.W.Irving
;
Brian Fletcher
;
Zhengmao Ye
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
nanoimprint lithography;
NIL;
defectivity;
particles;
mask life;
7.
Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing
机译:
纳米压印系统的开发和高批量半导体制造的地位
作者:
Tsuneo Takashima
;
Yukio Takabayashi
;
Naosuke Nishimura
;
Keiji Emoto
;
Takahiro Matsumoto
;
Tatsuya Hayashi
;
Atsushi Kimura
;
Jin Choi
;
Phil Schumaker
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
nanoimprint lithography;
NIL;
overlay;
throughput;
defectivity;
particles;
mask life;
8.
Pattern Fidelity Improvement of Chemo-Epitaxy DSA Process for High Volume Manufacturing
机译:
高批量生产化疗纳西DSA工艺的模式保真改进
作者:
Makoto Muramatsu
;
Takanori Nishi
;
Gen You
;
Yusuke Saito
;
Yasuyuki Ido
;
Kiyohito Ito
;
Toshikatsu Tobana
;
Masanori Hosoya
;
Weichien Chen
;
Satoru Nakamura
;
Mark Somervell
;
Takahiro Kitano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly;
chemo-epitaxy;
defect;
LER/LWR;
9.
Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production
机译:
零模板生产剂量调制技术的提高亚20nm图案质量
作者:
Keisuke Yagawa
;
Kunihiro Ugajin
;
Machiko Suenaga
;
Shingo Kanamitsu
;
Takeharu Motokawa
;
Kazuki Hagihara
;
Yukiyasu Arisawa
;
Sachiko Kobayashi
;
Masato Saito
;
Masamitsu Ito
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
10.
Development of a MEMS electrostatic condenser lens array for nc-Si surface electron emitters of the Massive Parallel Electron Beam Direct-Write system
机译:
用于MEMS静电冷凝器透镜阵列的MEMS静电冷凝器透镜阵列,用于大规模平行电子束直接写入系统的NC-SI表面电子发射器
作者:
A. Kojima
;
N. Ikegami
;
T. Yoshida
;
H. Miyaguchi
;
M. Muroyama
;
S. Yoshida
;
K. Totsu
;
N. Koshida
;
M. Esashi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
massive parallel;
MEMS;
nanocrystalline silicon;
condenser lens array;
electron beam lithography;
11.
DSA Via Hole Shrink for Advanced Node Applications
机译:
DSA通过孔缩小为高级节点应用程序
作者:
Cheng Chi
;
Chi-Chun Liu
;
Luciana Meli
;
Kristin Schmidt
;
Yongan Xu
;
Anuja DeSilva
;
Martha Sanchez
;
Richard Farrell
;
Hongyun Cottle
;
Daiji Kawamura
;
Lovejeet Singh
;
Tsuyoshi Furukawa
;
Kafai Lai
;
Jed W. Pitera
;
Daniel Sanders
;
David Hetzer
;
Andrew Metz
;
Nelson Felix
;
John Arnold
;
Matthew Colburn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
Lamellae forming-BCP;
contact hole;
Directed Self-assembly;
12.
Non-CAR resists and advanced materials for massively parallel e-beam direct write process integration
机译:
非汽车抗蚀剂和先进的材料,用于大规模平行的电子束直接写入过程集成
作者:
Marie-Line Pourteau
;
Isabelle Servin
;
Kevin Lepinay
;
Cyrille Essomba
;
Bernard DalZotto
;
Jonathan Pradelles
;
Ludovic Lattard
;
Pieter Brandt
;
Marco Wieland
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
advanced e-beam resists;
low-energy e-beam lithography;
massively parallel mask-less electron beam lithography;
non-CAR;
CDL;
charging;
LWR;
top-coat;
etch transfer;
13.
Process highlights to enhance DSA contact patterning performances
机译:
工艺亮点增强DSA触点图案化表演
作者:
A. Gharbi
;
R. Tiron
;
M. Argoud
;
G. Chamiot-Maitral
;
A. Fouquet
;
C. Lapeyre
;
P. Pimento Barros
;
A. Sarrazin
;
I. Servin
;
F. Delachat
;
S. Bos
;
S. Berard-Bergery
;
J. Hazart
;
X. Chevalier
;
C. Nicolet
;
C. Navarro
;
I. Cayrefourcq
;
S. Bouanani
;
C. Monget
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
block copolymer;
self-assembly;
contact shrink;
contact multiplication;
planarization;
14.
Prediction of positioning error in EB lithography
机译:
EB光刻定位误差预测
作者:
Masaki Kimura
;
Kazuo Goda
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
EB lithography;
photomask;
position error;
charging effect;
charge-up;
resist;
simulation;
electric dipole;
15.
Manufacturability of dense hole arrays with directed self-assembly using the CHIPS flow
机译:
密集孔阵列的可制造性与芯片流动定向自组装
作者:
Arjun Singh
;
Jaewoo Nam
;
Jongsu Lee
;
Boon Teik Chan
;
Hengpeng Wu
;
Jian Yin
;
Yi Cao
;
Roel Gronheid
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
frequency multiplication;
contact holes;
chemo-epitaxy;
hexagonal array;
cylindrical phase;
LCDU;
placement accuracy;
16.
New placement estimator for contact hole printed with DSA
机译:
用于DSA的接触孔的新放置估算器
作者:
L. Schneider
;
V. Farys
;
E. Serret
;
C. Fenouillet-Beranger
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
Placement estimator;
Otha-Kawasaki;
17.
Strategies to enable Directed Self-Assembly Contact Hole Shrink for Tight Pitches
机译:
启用定向自组装接触孔的策略缩小距离
作者:
Kristin Schmidt
;
Hitoshi Osaki
;
Kota Nishino
;
Martha Sanchez
;
Chi-Chun Liu
;
Tsuyoshi Furukawa
;
Cheng Chi
;
Jed Pitera
;
Nelson Felix
;
Daniel Sanders
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed Self-Assembly;
Block Copolymer;
Contact Hole Shrink;
High chi;
18.
A route to industry compatible directed self-assembly of high-chi PS-PDMS block copolymers
机译:
到业界兼容的高Chi PS-PDMS嵌段共聚物的兼容定向自组装
作者:
S. Boehme
;
C. Girardot
;
J. Garnier
;
J. Arias-Zapata
;
S. Arnaud
;
R. Tiron
;
O. Marconot
;
D. Buttard
;
M. Zelsmann
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
block copolymers (BCPs);
polystyrene-block-polydimethylsiloxane (PS-b-PDMS);
high-X;
plasma etching;
silicon nanostructures;
plasticizers;
19.
A Paradigm Shift in Patterning Foundation from Frequency Multiplication to Edge-Placement Accuracy: A Novel Processing Solution by Selective Etching and Alternating-Material Self-Aligned Multiple Patterning
机译:
Patterning基础的范式转换从频率乘法到边缘放置精度:通过选择性蚀刻和交替材料的新型处理解决方案自对准多图案
作者:
Ting Han
;
Hongyi Liu
;
Yijian Chen
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
edge-placement errors (EPE);
alternating-material (dual-material) self-aligned multiple patterning (altSAMP);
alternating-material (dual-material) self-aligned quadruple/sextuple patterning (altSAQP/altSASP);
selective etching;
cut-hole layout decomposition;
probability of failure (POF);
20.
Complete Data Preparation Flow for Massively Parallel E-beam Lithography on 28nm Node Full Field Design
机译:
在28nm节点全场设计上完成大规模平行电子束光刻的完整数据准备流
作者:
Aurelien Fay
;
Clyde Browning
;
Pieter Brandt
;
Jacky Chartoire
;
Sebastien Berard-Bergery
;
Jerome Hazart
;
Alexandre Chagoya
;
Sergei Postnikov
;
Mohamed Saib
;
Ludovic Lattard
;
Patrick Schiavone
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Massively Parallel Mask-less E-beam lithography;
Data Preparation;
Cycle Time;
Proximity Effect Correction;
Verification;
28nm Metal1;
Simulation;
21.
Experiments towards Establishing of Design Rules for R2R-UV-NIL with Polymer Working Shims
机译:
与聚合物工作垫片建立R2R-UV-NIL设计规则的实验
作者:
Dieter Nees
;
Stephan Ruttloff
;
Ursula Palfinger
;
Barbara Stadlober
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Roll-to-Roll-UV-nanoimprint lithography;
R2R-UV-NIL;
polymer working shims;
design rules;
nanoimprint proximity correction;
design for manufacturing;
22.
DSA patterning options for FinFET formation at 7nm node
机译:
7nm节点的FinFET形成的DSA图案化选项
作者:
Chi-Chun (Charlie) Liu
;
Elliott Franke
;
Fee Li Lie
;
Stuart Sieg
;
Hsinyu Tsai
;
Kafai Lai
;
Hoa Truong
;
Richard Farrell
;
Mark Somervell
;
Daniel Sanders
;
Nelson Felix
;
Michael Guillorn
;
Sean Burns
;
David Hetzer
;
Akiteru Ko
;
John Arnold
;
Matthew Colburn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly;
DSA;
block copolymer;
BCP;
Chemoepitaxy;
Graphoepitaxy;
FinFET;
customization;
23.
Virtual fabrication using Directed Self-Assembly for process optimization in a 14nm DRAM
机译:
虚拟制造在14nm DRAM中使用定向自组装进行过程优化
作者:
Mattan Kamon
;
Mustafa Akbulut
;
Yiguang Yan
;
Daniel Faken
;
Andras Pap
;
Vasanth Allampalli
;
Ken Greiner
;
David Fried
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed Self-Assembly;
DSA;
Virtual Fabrication;
Process Integration;
DRAM;
Chemoepitaxy;
yield optimization;
24.
Modeling and Parameter Tuning for Templated Directed Self-Assembly
机译:
模板定向自组装的建模和参数调整
作者:
B. Meliorisz
;
T. Muelders
;
H.-J. Stock
;
S. Marokkey
;
W. Demmerle
;
K. Lai
;
A. Raghunathan
;
P. Dhagat
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
modeling;
simulation;
optimization;
DSA defectivity;
25.
Investigation of Coat-Develop Track System for Placement Error of Contact Hole Shrink Process
机译:
接触孔收缩过程涂层轨道系统的涂层轨道系统研究
作者:
Masahiko Harumoto
;
Harold Stokes
;
Yuji Tanaka
;
Koji Kaneyama
;
Charles Pieczulewski
;
Masaya Asai
;
Isabelle Servin
;
Maxime Argoud
;
Ahmed Gharbi
;
Celine Lapeyre
;
Raluca Tiron
;
Cedric Monget
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
placement error;
coat develop track;
resist process;
contact-hole shrink;
26.
Design for nanoimprint lithography: Total layout refinement utilizing NIL process simulation
机译:
纳米压印光刻设计:利用NIL工艺模拟的总布局精制
作者:
Sachiko Kobayashi
;
Motofumi Komori
;
Inanami Ryoichi
;
Kyoji Yamashita
;
Akiko Mimotogi
;
Ji-Young Im
;
Masayuki Hatano
;
Takuya Kono
;
Shimon Maeda
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
nanoimprint lithography;
resist fluid;
alignment;
shear stress;
design for imprint;
27.
Dots-on-the-fly electron beam lithography
机译:
圆点 - 飞行电子束光刻
作者:
Tero J. Isotalo
;
Tapio Niemi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
periodic nano-structures;
electron beam lithography;
nano-particle arrays;
nano-fabrication;
optoelectronics;
plasmonics;
28.
Nanoscale patterning in ambient conditions using liquid electromigration
机译:
使用液体电迁移的纳米级图案化在环境条件下
作者:
Santanu Talukder
;
Praveen Kumar
;
Rudra Pratap
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Electromigration;
lithography;
vector scan;
large area patterning;
29.
High Throughput Jet and Flash Imprint Lithography for semiconductor memory applications
机译:
用于半导体存储器应用的高吞吐量射流和闪光印记光刻
作者:
Wei Zhang
;
Brian Fletcher
;
Ecron Thompson
;
Weijun Liu
;
Tim Stachowiak
;
Niyaz Khusnatdinov
;
J. W. Irving
;
Whitney Longsine
;
Matthew Traub
;
Van Truskett
;
Dwayne LaBrake
;
Zhengmao Ye
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
nanoimprint lithography;
NIL throughput;
non-fill defects;
30.
Chemoepitaxial guiding underlayers for density asymmetric and energetically asymmetric diblock copolymers
机译:
用于密度不对称和能量不对称二嵌段共聚物的化疗引导底层
作者:
Benjamin D. Nation
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly;
block copolymer;
simulation;
chemoepitaxy;
molecular dynamics;
process window;
31.
Requirements of the e-beam shot quality for mask patterning of the sub-1X device
机译:
屏蔽屏蔽质量的要求对Sub-1X设备的屏蔽图案化
作者:
Sinjeung Park
;
Jongmun Park
;
Boram Lee
;
Jin Choi
;
In Kyun Shin
;
Chan-Uk Jeon
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Photomask;
Pattern complexity;
Variable Shaped Beam;
E-beam writer;
Shot quality;
Data fracturing;
32.
Numerical placement analysis in hole multiplication patterns for directed self-assembly
机译:
定向自组装孔乘法模式的数值放置分析
作者:
K. Yamamoto
;
T. Nakano
;
M. Muramatsu
;
H. Genjima
;
T. Tomita
;
K. Matsuzaki
;
T. Kitano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Hole doublets;
Hole placement error;
Dissipative particle dynamics simulation;
Grapho-epitaxy;
Directed self-assembly;
Computational analysis;
33.
Sub-15nm Patterning Technology using Directed Self-Assembly on Nano-Imprinting Guide
机译:
纳米印迹指南上的亚15NM图案化技术
作者:
Seiji Morita
;
Masahiro Kanno
;
Ryosuke Yamamoto
;
Norikatsu Sasao
;
Shinobu Sugimura
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Lithography;
Nano-imprint;
NIL;
DSA;
Shrink;
UV resin;
Organic material;
Block copolymer;
34.
Improved cost-effectiveness of the block co-polymer anneal process for DSA
机译:
提高DSA块共聚物退火过程的成本效益
作者:
Hari Pathangi
;
Maarten Stokhof
;
Werner Knaepen
;
Varun Vaid
;
Arindam Mallik
;
Boon Teik Chan
;
Nadia Vandenbroeck
;
Jan Willem Maes
;
Roel Gronheid
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly;
chemo epitaxy;
defect reduction;
cost of ownership;
batch anneal;
35.
DSA materials contributions to the defectivity performance of 14 nm half-pitch LiNe flow @ imec
机译:
DSA材料对14纳米半间距线流的缺陷性能@ IMEC
作者:
Hari Pathangi
;
Varun Vaid
;
Boon Teik Chan
;
Nadia Vandenbroeck
;
Jin Li
;
Sung Eun Hong
;
Yi Cao
;
Baskaran Durairaj
;
Guanyang Lin
;
Mark Somervell
;
Takahiro Kitano
;
Ryota Harukawa
;
Kaushik Sah
;
Andrew Cross
;
Hareen Bayana
;
Lucia DUrzo
;
Roel Gronheid
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly;
chemo epitaxy;
defect reduction;
DSA materials;
Block-co polymer defectivity;
36.
Reversible Nano-Lithography for Commercial Approaches
机译:
用于商业方法的可逆纳米光刻
作者:
Jae Hong Park
;
Hyun Ik Jang
;
Woo Choong Kim
;
Hae Su Yun
;
Jun Yong Park
;
Seok Woo Jeon
;
Hee Yeoun Kim
;
Chi Won Ahn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
nano patterning;
nano structure;
lithography;
soft;
molding;
imprinting;
commercialization;
37.
Deep-UV Interference Lithography combined with Masked Contact Lithography for Pixel Wiregrid Patterns
机译:
深度紫外干扰光刻与像素线格图案的屏蔽接触光刻相结合
作者:
David Lombardo
;
Piyush Shah
;
Pengfei Guo
;
Andrew Sarangan
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Interferometric;
deep-UV;
wiregrid polarizers;
38.
Control of morphological defects at the boundary between the periodic and non-periodic patterns in directed self-assembly process
机译:
控制定期自组装过程中周期性和非周期性模式之间的形态缺陷
作者:
Akihisa Yoshida
;
Kenji Yoshimoto
;
Masahiro Ohshima
;
Katsuyoshi Kodera
;
Yoshihiro Naka
;
Hideki Kanai
;
Sachiko Kobayashi
;
Simon Maeda
;
Phubes Jiravanichsakul
;
Katsutoshi Kobayashi
;
Hisako Aoyama
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly;
diblock copolymer;
PS-b-PMMA;
lamella;
non-periodic;
simulation;
39.
Directed self-assembly of Si-containing and topcoat free block copolymer
机译:
定向自组装的含Si和面漆免费嵌段共聚物
作者:
Tasuku Matsumiya
;
Takehiro Seshimo
;
Tsuyoshi Kurosawa
;
Hitoshi Yamano
;
Ken Miyagi
;
Tomotaka Yamada
;
Katsumi Ohmori
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly (DSA);
Block copolymer (BCP);
High chi BCP;
Si-containing;
Topcoat free;
Graphoepitaxy;
Perpendicular lamella;
40.
Nano-imprint lithography using Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers
机译:
使用聚(甲基丙烯酸甲酯)(PMMA)和聚苯乙烯(PS)聚合物的纳米印记光刻
作者:
Yung-Chiang Ting
;
Shyi-Long Shy
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
PMMA polymer;
PS polymer;
Thermal nano-imprint lithography;
41.
Sub-10 nm lines and spaces patterning using grapho-epitaxial directed self-assembly of lamellar block copolymers
机译:
使用LAPLAR嵌段共聚物的Grapho-Xizaxial的自组装图案化的10nm线和空间
作者:
Yuriko Seino
;
Hironobu Sato
;
Yusuke Kasahara
;
Shinya Minegishi
;
Ken Miyagi
;
Hitoshi Kubota
;
Hideki Kanai
;
Katsuyoshi Kodera
;
Masayuki Shiraishi
;
Naoko Kihara
;
Yoshiaki Kawamonzen
;
Toshikatsu Tobana
;
Katsutoshi Kobayashi
;
Hitoshi Yamano
;
Satoshi Nomura
;
Tsukasa Azuma
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
grapho-epitaxial directed self-assembly;
lamellar block copolymer;
line-and-space pattern;
42.
NIL defect performance toward High volume mass production
机译:
NIL缺陷性能对大量批量生产
作者:
Masayuki Hatano
;
Kei Kobayashi
;
Hiroyuki Kashiwagi
;
Hiroshi Tokue
;
Takuya Kono
;
Nakasugi Tetsuro
;
Eun Hyuk Choi
;
Wooyung Jung
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Nanoimprint;
Lithography;
Template;
Defect classification;
Defect control;
43.
Lithography Alternatives meet Design Style Reality; How do they 'Line' Up?
机译:
光刻替代品符合设计风格的现实;他们如何'线'起来?
作者:
Michael C. Smayling
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Low k_1;
1D design style;
gridded design rules;
pitch division;
lines and cuts;
design source mask optimization (DSMO);
self-aligned pitch division;
DSA;
CEBL;
44.
Resist roughness improvement by chemical shrink process
机译:
通过化学收缩过程抵抗粗糙度
作者:
Tatsuro Nagahara
;
Takashi Sekito
;
Yuriko Matsuura
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
NTD;
LWR;
LER;
CDU;
pattern roughness;
ArF;
EUV;
shrink;
SPM;
AFM;
45.
Enhanced Patterning by Tilted Ion Implantation
机译:
通过倾斜的离子植入增强图案化
作者:
Sang Wan Kim
;
Peng Zheng
;
Kimihiko Kato
;
Leonard Rubin
;
Tsu-Jae King Liu
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
alternative lithography;
tilted ion implantation;
self-align;
low-cost;
structural damage;
enhanced etch rate;
46.
Nanoimprint lithography using disposable biomass template
机译:
使用一次性生物量模板的Nanoimprint光刻
作者:
Makoto Hanabata
;
Satoshi Takei
;
Kigen Sugahara
;
Shinya Nakajima
;
Naoto Sugino
;
Takao Kameda
;
Jiro Fukushima
;
Yoko Matsumoto
;
Atsushi Sekiguchi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
thermal nanoimprint lithography;
template;
mold;
biomass;
cellulose;
defect reduction;
47.
Contact Hole Patterning by Electric-Field Assisted Assembly of Core-Shell Nanoparticles
机译:
通过电磁辅助组装芯壳纳米粒子的电磁辅助组件图案化
作者:
Xuexue Guo
;
Lan Lin
;
Theresa S. Mayer
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Electric-field assisted assembly;
core-shell nanoparticles;
contact hole;
dielectrophoretic (DEP) force;
pattern placement error;
48.
Grapho-epitaxial sub-10-nm line and space patterning using lamella-forming Si-containing block copolymer
机译:
使用薄片形成含Si的嵌段共聚物的Grapho-外延子10-10nm线和空间图案化
作者:
Hironobu Sato
;
Yusuke Kasahara
;
Naoko Kihara
;
Yuriko Seino
;
Ken Miyagi
;
Shinya Minegishi
;
Hitoshi Kubota
;
Katsutoshi Kobayashi
;
Hideki Kanai
;
Katsuyoshi Kodera
;
Yoshiaki Kawamonzen
;
Masayuki Shiraishi
;
Hitoshi Yamano
;
Satoshi Nomura
;
Tsukasa Azuma
;
Teruaki Hayakawa
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
PMAPOSS-b-PTFEMA;
grapho-epitaxy;
cross-sectional TEM;
pattern transfer;
49.
Understanding of PS-b-PMMA phase segregation under laser induced millisecond thermal annealing
机译:
了解激光诱导毫秒热退火下的PS-b-PMMA相分离
作者:
Alan G. Jacobs
;
Clemens Liedel
;
Christopher K. Ober
;
Michael O. Thompson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Laser Spike Annealing;
LSA;
PS-b-PMMA;
Phase Segregation;
Order-Disorder Transition;
ODT;
GISAXS;
μGISAXS;
50.
Fabrication of functional electromechanical nanowire resonators by focused ion beam (FIB) implantation
机译:
通过聚焦离子束(FIB)注入制造功能机电纳米线谐振器
作者:
J. Llobet
;
M. Gerboles
;
M. Sansa
;
X. Borrise
;
F. Perez-Murano
会议名称:
《》
|
2015年
关键词:
Focused ion beam;
nanoelectromechanical devices;
51.
Development of Ballistic Hot Electron Emitter and its Applications to Parallel Processing: Active-Matrix Massive Direct-Write Lithography in Vacuum and Thin Films Deposition in Solutions
机译:
弹道热电子发射体的开发及其在并行处理中的应用:真空中的有源矩阵大规模直接光刻技术以及溶液中的薄膜沉积
作者:
N. Koshida
;
A. Kojima
;
N. Ikegami
;
R. Suda
;
M. Yagi
;
J. Shirakashi
;
T. Yoshida
;
H. Miyaguchi
;
M. Muroyama
;
H. Nishino
;
S. Yoshida
;
M. Sugata
;
K. Totsu
;
M. Esashi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
nanocrystalline Si;
ballistic hot electron;
planar electron emitter;
active-matrix drive;
mask-less parallel exposure;
direct write system;
thin film deposition;
printing;
52.
Self-aligned line-space pattern customization with directed self-assembly graphoepitaxy at 24nm pitch
机译:
具有24nm节距的定向自组装石墨外延的自对准线空间图案定制
作者:
HsinYu Tsai
;
Hiroyuki Miyazoe
;
Joy Cheng
;
Markus Brink
;
Simon Dawes
;
David Klaus
;
James Bucchignano
;
Dan Sanders
;
Eric Joseph
;
Matthew Colburn
;
Michael Guillorn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self assembly;
grapho-epitaxy;
FinFET device;
PS-PMMA;
pitch scaling;
53.
Directed self-assembly lithography using coordinated line epitaxy (COOL) process
机译:
使用协调线外延(COOL)工艺进行定向自组装光刻
作者:
Yuriko Seino
;
Yusuke Kasahara
;
Hironobu Sato
;
Katsutoshi Kobayashi
;
Hitoshi Kubota
;
Shinya Minegishi
;
Ken Miyagi
;
HidekiKanai
;
Katsuyoshi Kodera
;
Naoko Kihara
;
Yoshiaki Kawamonzen
;
Toshikatsu Tobana
;
Masayuki Shiraishi
;
Satoshi Nomura
;
Tsukasa Azuma
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
block copolymer;
PS-b-PMMA;
line and space;
54.
Contour-based Kernel Modeling and Verification for E-Beam Lithography
机译:
基于轮廓的电子束光刻内核建模与验证
作者:
Jan-Wen You
;
Cheng-Hung Chen
;
Tsung-Chih Chien
;
Jaw-Jung Shin
;
Shy-Jay Lin
;
Burn J. Lin
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
E-beam lithography;
proximity effect;
contour;
Gaussian kernel;
55.
Advanced Nano Lithography via Soft Materials-Derived and Reversible Nano-Patterning Methodology for Molding of Infrared Nano Lenses
机译:
通过软材料衍生的可逆纳米图案化方法进行的先进纳米光刻技术,用于红外纳米透镜的成型
作者:
Jae Hong Park
;
Hyun Ik Jang
;
Jun Yong Park
;
Seok Woo Jeon
;
Woo Choong Kim
;
Hee Yeoun Kim
;
Chi Won Ahn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
nano patterning;
nano structure;
lithography;
soft;
molding;
infrared;
nano lens;
56.
Creation of guiding patterns for directed self-assembly of block copolymers by resistless direct e-beam exposure
机译:
通过无抗蚀剂直接电子束曝光创建用于嵌段共聚物的定向自组装的引导图案
作者:
Laura Evangelio
;
Marta Fernandez-Regulez
;
Xavier Borrise
;
Matteo Lorenzoni
;
Jordi Fraxedas
;
Francesc Perez-Murano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
block copolymer;
directed self-assembly;
contact angle;
resistless;
electron beam;
chemoepitaxy;
guiding patterns;
57.
Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing
机译:
纳米压印系统的开发和大批量半导体制造的现状
作者:
Hiroaki Takeishi
;
S. V. Sreenivasan
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
overlay;
throughput;
defectivity;
imprint lithography;
nanoimprint lithography;
58.
Impact of materials selection on graphoepitaxial directed self-assembly for line-space patterning
机译:
材料选择对线外图案化的石墨外延定向自组装的影响
作者:
Dung Quach
;
Valeriy V. Ginzburg
;
Janet Wu
;
Mingqi Li
;
Shih-wei Chang
;
Peter Trefonas Ⅲ
;
Phillip D. Hustad
;
Dan B. Millward
;
Gurpreet S. Lugani
;
Scott L. Light
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Block copolymer;
BCP;
directed self assembly;
DSA;
graphoepitaxy;
high chi;
high χ;
59.
Cross-Sectional Imaging of Directed Self Assembled Block Copolymers
机译:
定向自组装嵌段共聚物的截面图
作者:
Kye Okabe
;
He Yi
;
Maryann C. Tung
;
Richard Tiberio
;
Joost Bekaert
;
Roel Gronheid
;
H.-S. Philip Wong
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self assembly;
block copolymers;
cross-sectional imaging;
TEM;
tomography;
3D reconstruction;
60.
DSA-aware assist features
机译:
DSA感知辅助功能
作者:
Azat Latypov
;
Tamer H. Coskun
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
DSA;
block copolymers;
BCP;
assist feature;
SRAF;
PrAF;
61.
The Effects of Geometry and Chemistry of Nanopatterned Substrates on the Directed Self-Assembly of Block-Copolymer Melts
机译:
纳米图案基底的几何形状和化学性质对嵌段共聚物熔体定向自组装的影响
作者:
Grant Garner
;
Lance Williamson
;
Robert Seidel
;
Paulina Rincon Delgadillo
;
Su-Mi Hur
;
Roel Gronheid
;
Paul F. Nealey
;
Juan J. de Pablo
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
block copolymer;
directed self-assembly;
chemo-epitaxy;
molecular simulations;
Monte Carlo;
coarse-grain simulations;
62.
Photo-induced large-scale circular surface-relief diffraction gratings on azo-glass
机译:
偶氮玻璃上的光致大型圆形表面浮雕衍射光栅
作者:
James Leibold
;
Ribal Georges Sabat
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
diffraction gratings;
holographic optical elements;
circular diffraction gratings;
azo-glass.;
63.
193i lithography for contact doubling with grapho-epitaxy DSA: A simulation study
机译:
193i光刻技术用于图形外延DSA的倍增接触:仿真研究
作者:
A. Fouquet
;
L. Perraud
;
S. Berard-Bergery
;
A. Gharbi
;
P. Pimenta-Barros
;
R. Tiron
;
J. Hazart
;
V. Farys
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
DSA;
OPC;
block copolymer;
grapho-epitaxy;
simulation;
VIA;
patterning;
64.
IMPLEMENTATION OF TEMPLATED DSA FOR VIA LAYER PATTERNING AT THE 7 NM NODE
机译:
在7 NM节点处通过模板进行模板化DSA的实现
作者:
Roel Gronheid
;
Jan Doise
;
Joost Bekaert
;
Boon Teik Chan
;
Ioannis Karageorgos
;
Julien Ryckaert
;
Geert Vandenberghe
;
Yi Cao
;
Guanyang Lin
;
Mark Somervell
;
Germain Fenger
;
Daisuke Fuchimoto
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Directed Self-Assembly;
grapho-epitaxy;
templated DSA flow;
implementation;
via patterning;
cylinder phase block copolymer;
65.
Fin formation using Graphoepitaxy DSA for FinFET device fabrication
机译:
使用石墨外延DSA形成鳍片以制造FinFET器件
作者:
Chi-Chun (Charlie) Liu
;
Fee Li Lie
;
Vinayak Rastogi
;
Elliott Franke
;
Nihar Mohanty
;
Richard Farrell
;
Hsinyu Tsai
;
Kafai Lai
;
Melih Ozlem
;
Wooyong Cho
;
Sung Gon Jung
;
Jay Strane
;
Mark Somervell
;
Sean Burns
;
Nelson Felix
;
Michael Guillorn
;
David Hetzer
;
Akiteru Ko
;
Matthew Colburn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Directed self-assembly;
DSA;
block copolymer;
BCP;
graphoepitaxy;
FinFET;
customization;
66.
Massively Parallel E-Beam Inspection: Enabling Next-Generation Patterned Defect Inspection for Wafer and Mask Manufacturing
机译:
大规模并行电子束检查:为晶圆和掩模制造启用下一代图案化缺陷检查
作者:
Matt Malloy
;
Brad Thiel
;
Benjamin D. Bunday
;
Stefan Wurm
;
Maseeh Mukhtar
;
Kathy Quoi
;
Thomas Kemen
;
Dirk Zeidler
;
Anna Lena Eberle
;
Tomasz Garbowski
;
Gregor Dellemann
;
Jan Hendrik Peters
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
e-beam inspection;
defect inspection;
multibeam;
multicolumn;
massively parallel;
wafer inspection;
mask inspection;
67.
Advantages and limitations of density functional theory in block copolymer directed self-assembly
机译:
密度泛函理论在嵌段共聚物定向自组装中的优缺点
作者:
Jimmy Liu
;
Nabil Laachi
;
Kris T. Delaney
;
Glenn H. Fredrickson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
block copolymers;
density functional theory;
self-consistent field theory;
commensurability;
defects;
68.
Nanomechanical properties of solvent cast PS and PMMA polymer blends and block co-polymers
机译:
溶剂浇铸的PS和PMMA聚合物共混物和嵌段共聚物的纳米力学性能
作者:
Matteo Lorenzoni
;
Laura Evangelio
;
Celia Nicolet
;
Christophe Navarro
;
Alvaro San Paulo
;
Francesc Perez-Murano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
69.
Barriers to defect melting in chemo-epitaxial directed self-assembly of lamellar-forming diblock copolymer/homopolymer blends
机译:
形成层状二嵌段共聚物/均聚物共混物的化学外延定向自组装中缺陷熔化的障碍
作者:
Kenichi Izumi
;
Bongkeun Kim
;
Nabil Laachi
;
Kris T. Delaney
;
Michael Carilli
;
Glenn H. Fredrickson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Directed self-assembly;
self-consistent field theory;
chemo-epitaxy;
string method;
defect energy.;
70.
Directed Self-Assembly (DSA) Grapho-Epitaxy Template Generation with Immersion Lithography
机译:
浸没式光刻的定向自组装(DSA)重力外延模板生成
作者:
Yuansheng Ma
;
Junjiang Lei
;
J. Andres Torres
;
Le Hong
;
James Word
;
Germain Fenger
;
Alexander Tritchkov
;
George Lippincott
;
Rachit Gupta
;
Neal Lafferty
;
Yuan He
;
Joost Bekaert
;
Geert Vandenberghe
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly (DSA);
grapho-epitaxy;
guiding pattern;
DSA template;
optimization;
Template Error Enhancement Factor (TEEF);
SMO;
ILT;
71.
Template affinity role in CH shrink by DSA planarization
机译:
通过DSA平面化,模板亲和力在CH缩合中
作者:
R. Tiron
;
A.Gharbi
;
P.Pimenta Barros
;
S.Bouanani
;
C.Lapeyre
;
S.Bos
;
A.Fouquet
;
J.Hazart
;
X. Chevalier
;
M.Argoud
;
G.Chamiot-Maitral
;
S.Barnola
;
C.Monget
;
V.Farys
;
S.Berard-Bergery
;
L. Perraud
;
C. Navarro
;
C. Nicolet
;
G. Hadziioannou
;
G. Fleury
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
block copolymer;
self-assembly;
contact shrink;
contact multiplication;
affinity;
72.
Graphoepitaxial and chemoepitaxial methods for creating line-space patterns at 33nm pitch: comparison to a HVM process
机译:
石墨外延和化学外延方法以33nm间距创建线间距图案:与HVM工艺的比较
作者:
Dan B. Millward
;
Gurpreet S. Lugani
;
Scott L. Light
;
Ardavan Niroomand
;
Phillip D. Hustad
;
Peter Trefonas
;
Dung Quach
;
Valeriy V. Ginzburg
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
73.
Pattern transfer into silicon using sub-10 nm masks made by Electron Beam Induced Deposition
机译:
使用电子束诱导沉积制成的亚10纳米掩模将图案转移到硅中
作者:
M. Scotuzzi
;
M.J. Kamerbeek
;
A. Goodyear
;
M. Cooke
;
C.W. Hagen
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Electron Beam Induced Deposition;
EBID;
nano pattern transfer;
nanofabrication;
Reactive Ion Etching;
RIE;
Inductively Coupled Plasma;
ICP;
Nano Imprint Lithography;
NIL stamps;
74.
Imprint Directed Self-Assembly of Cylinder-Forming Si-Containing Block Copolymer for 6 nm Half-Pitch Line Patterning
机译:
用于6 nm半节距线图案化的成缸含Si嵌段共聚物的压印定向自组装
作者:
Shuaigang Xiao
;
XiaoMin Yang
;
Yautzong Hsu
;
Kim Y. Lee
;
David Kuo
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
block copolymer;
nanoimprint;
Si-containing;
cylinder;
nanoline;
75.
Fabrication of NIL templates and diffractive optical elements using the new Vistec SB4050 VSB e-beam writer
机译:
使用新型Vistec SB4050 VSB电子束记录仪制造NIL模板和衍射光学元件
作者:
Joerg Butschke
;
Mathias Irmscher
;
Corinna Koepernik
;
Stephan Martens
;
Holger Sailer
;
Bernd Schnabel
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
e-Beam;
CAR;
VSB;
NIL;
nanoimprint;
DOE;
diffractive optical element;
3D;
76.
Verification of E-Beam Direct Write integration into 28nm BEOL SRAM technology
机译:
验证电子束直接写入是否集成到28nm BEOL SRAM技术中
作者:
Christoph Hohle
;
Kang-Hoon Choi
;
Manuela Gutsch
;
Norbert Hanisch
;
Robert Seidel
;
Katja Steidel
;
Xaver Thrun
;
Thomas Werner
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Electron beam direct write;
EBDW;
CMOS integration;
28nm BEOL;
Mix Match;
LELE;
77.
Ready for multi-beam exposure at 5kV on MAPPER tool: Lithographic process integration performances of advanced resists/stack
机译:
准备在MAPPER工具上以5kV进行多束曝光:高级抗蚀剂/堆叠的光刻和工艺集成性能
作者:
Isabelle Servin
;
Ndeye Arame Thiam
;
Patricia Pimenta-Barros
;
Marie-Line Pourteau
;
Armel-Petit Mebiene
;
Julien Jussot
;
Jonathan Pradelles
;
Philippe Essomba
;
Ludovic Lattard
;
Pieter Brandt
;
Marco Wieland
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
advanced e-beam resists;
underlayers;
topcoat;
process;
etch transfer;
low-energy Ebeam lithography;
massively parallel mask-less electron beam lithography;
MAPPER;
78.
Study of DSA Interaction Range using Gaussian Convolution
机译:
基于高斯卷积的DSA相互作用范围研究
作者:
He Yi
;
Joost Bekaert
;
Roel Gronheid
;
Germain Fenger
;
Kathleen Nafus
;
H.-S. Philip Wong
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
DSA;
block copolymers;
graphoepitaxy;
template density;
Gaussian convolution;
79.
Thermal Effect Induced Wafer Deformation in High Energy E-Beam Lithography
机译:
高能电子束光刻中热效应引起的晶片变形
作者:
P. S. Chen
;
W.C. Wang
;
S.J. Lin
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
E-beam;
heating effect;
thermal effect;
wafer distortion;
80.
Advanced electric-field scanning probe lithography on molecular resist using active cantilever
机译:
使用有源悬臂在分子抗蚀剂上进行高级电场扫描探针光刻
作者:
Marcus Kaestner
;
Cemal Aydogan
;
Hubert-Seweryn Lipowicz
;
Tzvetan Ivanov
;
Steve Lenk
;
Ahmad Ahmad
;
Tihomir Angelov
;
Alexander Reum
;
Valentyn Ishchuk
;
Ivaylo Atanasov
;
Yana Krivoshapkina
;
Manuel Hofer
;
Mathias Holz
;
Ivo W. Rangelow
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
nanolithography;
scanning probe lithography;
molecular glass resist;
calixarene resist;
self-actuating piezoresistive cantilever;
active cantilever;
81.
Defect mitigation and root cause studies in IMEC's 14 nm half-pitch chemo-epitaxy DSA flow
机译:
IMEC 14 nm半间距化学外延DSA流程中的缺陷缓解和根本原因研究
作者:
Hari Pathangi
;
Boon Teik Chan
;
Hareen Bayana
;
Nadia Vandenbroeck
;
Dieter Van Den Heuvel
;
Lieve Van Look
;
Paulina Rincon-Delgadillo
;
Yi Cao
;
JiHoon Kim
;
Guanyang Lin
;
Doni Parnell
;
Kathleen Nafus
;
Ryota Harukawa
;
Ito Chikashi
;
Venkat Nagaswami
;
Lucia DUrzo
;
Roel Gronheid
;
Paul Nealey
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Directed self-assembly;
chemo epitaxy;
LiNe flow;
defect reduction;
defect metrology;
DSA materials;
82.
Toward High Performance Quality Meeting IC Device Manufacturing Requirements with AZ SMART™ DSA Process
机译:
通过AZ SMART™DSA工艺实现满足IC器件制造要求的高性能质量
作者:
Jihoon Kim
;
Jian Yin
;
Yi Cao
;
YoungJun Her
;
Claire Petermann
;
Hengpeng Wu
;
Jianhui Shan
;
Tomohiko Tsutsumi
;
Guanyang Lin
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
block co-polymer;
directed self-assembly;
BCP;
DSA;
poly(sryrene-b-methylmethacrylate);
PS-b-PMMA;
SMART™;
LER;
LWR;
CDU;
83.
Effect of chemoepitaxial guiding underlay er design on the pattern quality and shape of aligned lamellae for fabrication of line-space patterns
机译:
化学外延引导衬层设计对线型图案制造中取向薄片的图案质量和形状的影响
作者:
Benjamin D. Nation
;
Andrew Peters
;
Richard A. Lawson
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
block copolymer;
simulation;
chemoepitaxy;
molecular dynamics;
process window;
84.
Effect of χN and underlayer composition on self-assembly of thin films of block copolymers with energy asymmetric blocks
机译:
χN和底层组成对能量不对称嵌段共聚物嵌段薄膜自组装的影响
作者:
Richard A. Lawson
;
Andrew J. Peters
;
Benjamin D. Nation
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
block copolymer;
molecular dynamics;
thin film morphologies;
cohesive energy density;
simplified BCP models;
85.
'Fast' 'Thick' e-beam resists exposed with multi-beam tool at 5keV for implants and mature nodes: experimental and simulated model study
机译:
使用多束工具在5keV下对植入物和成熟节点暴露的“快速”和“厚实”电子束抗蚀剂:实验和模拟模型研究
作者:
Aurelien Fay
;
Ndeye Arame Thiam
;
Marie-Laure Cordini
;
Isabelle Servin
;
Christophe Constancias
;
Ludovic Lattard
;
Laurent Pain
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
low-energy e-beam lithography;
implants application;
mature nodes;
advanced e-beam resists;
massively parallel mask-less electron beam lithography;
MAPPER;
process;
fast e-beam resists;
CMOS technologies;
86.
Solid immersion optical lithography - tuning the prism/sample interface for improved ultra high-NA, high aspect ratio resist patterns over large exposure fields
机译:
固态浸没式光刻-调整棱镜/样品界面,以在较大的曝光场上改善超高NA,高纵横比的抗蚀剂图案
作者:
Sam Lowrey
;
Richard J. Blaikie
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Solid immersion;
evanescent wave lithography;
gapping;
high aspect ratio;
87.
Coarse-grained molecular dynamics modeling of the kinetics of lamellar BCP defect annealing
机译:
层状BCP缺陷退火动力学的粗粒分子动力学模型
作者:
Andrew J. Peters
;
Richard A. Lawson
;
Benjamin D. Nation
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
DSA;
Molecular Dynamics;
Defects;
Annealing;
Simulation;
Coarse-Grained;
Kinetics;
GPU;
88.
Alternative Stitching Method for Massively Parallel E-beam Lithography
机译:
大规模平行电子束光刻的替代缝合方法
作者:
Pieter Brandt
;
Celine Tranquillin
;
Marco Wieland
;
Sebastien Bayle
;
Matthieu Millequant
;
Guillaume Renault
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Massively Parallel E-beam Direct Write;
FLX 1200;
Stiching;
Soft Edge;
Proximity Effect Correction;
MAPPER Lithography;
Aselta Nanographics;
89.
An Instruction-based High-Throughput Lossless Decompression Algorithm for E-Beam Direct-Write System
机译:
电子束直接写系统的基于指令的高吞吐量无损解压算法
作者:
Cheng-Chi Wu
;
Jensen Yang
;
Wen-Chuan Wang
;
Shy-Jay Lin
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
multiple e-beam direct write;
MEBDW;
lossless compression;
instruction-based;
90.
Comparison between e-beam direct write and immersion lithography for 20 nm node
机译:
20纳米节点的电子束直接写入和浸没式光刻的比较
作者:
Pieter Brandt
;
Cham Sardana
;
Dale Ibbotson
;
Marco Wieland
;
Aurelien Fay
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
20 nm node lithography;
E-beam Direct Write lithography;
Electronic Design Automation;
Process Window;
Altera Corporation;
FPGA;
Mapper Lithography;
FLX-1200;
91.
Negative e-beam resists using for nano-imprint lithography and silicone mold fabrication
机译:
负电子束抗蚀剂,用于纳米压印光刻和硅树脂模具制造
作者:
Anil Kumar T V
;
S. L. Shy
;
Gene Sheu
;
Shao-Ming Yang
;
M. C. Chen
;
C. S. Hong
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
NEB22 A2;
Negative e-beam resist;
Thermal nano-imprint lithography;
92.
Customization and Design of Directed Self-Assembly Using Hybrid Prepatterns
机译:
混合预模式的定向自组装的定制和设计
作者:
Joy Cheng
;
Gregory S. Doerk
;
Charles T. Rettner
;
Gurpreet Singh
;
Melia Tjio
;
Hoa Truong
;
Noel Arellano
;
Srinivasan Balakrishnan
;
Markus Brink
;
Hsinyu Tsai
;
Chi-Chun Liu
;
Michael Guillorn
;
Daniel P. Sanders
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
directed self-assembly;
DSA;
block copolymer;
self-aligned customization;
hybrid prepattern;
93.
Optimization of Near-Field Scanning Optical Lithography
机译:
近场扫描光学光刻技术的优化
作者:
Ben S. Routley
;
John L. Holdsworth
;
Andrew J. Fleming
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Near-field scanning optical lithography;
Simulation;
94.
Development of NIL processes for PV applications
机译:
开发用于光伏应用的NIL工艺
作者:
H. Hauser
;
N. Tucher
;
K. Tokai
;
P. Schneider
;
Ch. Wellens
;
A. Volk
;
S. Barke
;
C. Mueller
;
T. Glinsner
;
B. Blaesi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
NIL;
Solar Cells;
Light Trapping;
Photon Management;
Plasma Etching;
95.
Impact of BCP Asymmetry on DSA Patterning Performance
机译:
BCP不对称对DSA图案化性能的影响
作者:
Lance Williamson
;
JiHoon Kim
;
Yi Cao
;
Guanyang Lin
;
Roel Gronheid
;
Paul F. Nealey
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
block copolymer;
directed self-assembly;
chemo-epitaxy;
asymmetry;
homopolymer;
96.
Verification of Directed Self-Assembly (DSA) Guide Patterns through Machine Learning
机译:
通过机器学习验证定向自组装(DSA)指导模式
作者:
Seongbo Shim
;
Sibo Cai
;
Jaewon Yang
;
Seunghune Yang
;
Byungil Choi
;
Youngsoo Shin
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Directed self-assembly (DSA);
verification;
machine learning;
SVM;
97.
Experimental Study of Sub DSA resolution Assist Features (SDRAF)
机译:
Sub DSA解析辅助功能(SDRAF)的实验研究
作者:
He Yi
;
Joost Bekaert
;
Roel Gronheid
;
Geert Vandenberghe
;
Kathleen Nafus
;
H.-S. Philip Wong
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
98.
Computational analysis of hole placement errors for directed self-assembly
机译:
定向自组装孔位置误差的计算分析
作者:
K. Yamamoto
;
T. Nakano
;
M. Muramatsu
;
T. Tomita
;
K. Matsuzaki
;
T. Kitano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
Directed self-assembly;
DSA;
Dissipative particle dynamics simulation;
Placement error;
Block co-polymer;
Hole shrink;
Hole multiplication;
99.
Directed self-assembly of diblock copolymers in cylindrical confinement: effect of underfilling and air-polymer interactions on configurations
机译:
圆柱形封闭中二嵌段共聚物的定向自组装:底部填充和空气-聚合物相互作用对构型的影响
作者:
Corinne L. Carpenter
;
Kris T. Delaney
;
Nabil Laachi
;
Glenn H. Predrickson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
100.
Mapping self-assembled dots and line arrays by image analysis for quantification of defect density and alignment
机译:
通过图像分析映射自组装点和线阵列以量化缺陷密度和对齐方式
作者:
C. Simao
;
D. Tuchapsky
;
W. Khunsin
;
A. Amann
;
M. A. Morris
;
C. M. Sotomayor Torres
会议名称:
《Conference on alternative lithographic technologies VII》
|
2015年
关键词:
block copolymers;
directed self-assembly;
metrology;
defect density;
order quantification;
image analysis;
alignment;
上一页
1
2
下一页
意见反馈
回到顶部
回到首页