首页> 外文会议>Conference on alternative lithographic technologies VII >Enhanced Patterning by Tilted Ion Implantation
【24h】

Enhanced Patterning by Tilted Ion Implantation

机译:通过倾斜的离子植入增强图案化

获取原文

摘要

Tilted ion implantation (TII) is proposed as a lower-cost alternative to self-aligned double patterning (SADP) for pitch-halving. This new approach is based on an enhancement in etch rate of a hard-mask layer by implant-induced damage. Ar~+ implantation into a thin layer of silicon dioxide (SiO_2) is shown to enhance its etch rate in dilute hydrofluoric acid (HF) solution, by up to 9× for an implant dose of 3×10~(14) cm~(-2). The formation of sub-lithographic features defined by masked tilted Ar~+ implantation into a SiO_2 hard-mask layer is experimentally demonstrated. Features with sizes as small as ~21 nm, self-aligned to the lithographically patterned mask, are achieved. As compared with SADP, enhanced patterning by TII requires far fewer and lower-cost process steps and hence is expected to be much more cost-effective.
机译:提出倾斜的离子注入(TII)作为自对准双图案化(SADP)的低成本替代物,用于间距。这种新方法基于植入诱导的损伤来提高硬掩模层的蚀刻速率。将Ar〜+注入到二氧化硅(SiO_2)中的薄层(SiO_2),以增强其稀氢氟酸(HF)溶液中的蚀刻速率,最多9×3×10〜(14)cm〜( -2)。通过掩模倾斜的Ar〜+植入将沉淀的Ar〜+注入到SiO_2硬掩模层中形成的亚光刻特征进行了实验证明。达到大约21nm的尺寸的特点,实现了与光刻图案化掩模的自对齐。与SADP相比,TII的增强型图案需要较少,更低的过程步骤,因此预计将更具成本效益。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号