掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on alternative lithographic technologies VII
Conference on alternative lithographic technologies VII
召开年:
2016
召开地:
San Jose, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Design for nanoimprint lithography: Total layout refinement utilizing NIL process simulation
机译:
纳米压印光刻的设计:利用NIL工艺仿真进行总体布局优化
作者:
Sachiko Kobayashi
;
Motofumi Komori
;
Inanami Ryoichi
;
Kyoji Yamashita
;
Akiko Mimotogi
;
Ji-Young Im
;
Masayuki Hatano
;
Takuya Kono
;
Shimon Maeda
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
nanoimprint lithography;
resist fluid;
alignment;
shear stress;
design for imprint;
2.
Investigation of Coat-Develop Track System for Placement Error of Contact Hole Shrink Process
机译:
接触孔收缩过程放置误差的涂层显影跟踪系统研究
作者:
Masahiko Harumoto
;
Harold Stokes
;
Yuji Tanaka
;
Koji Kaneyama
;
Charles Pieczulewski
;
Masaya Asai
;
Isabelle Servin
;
Maxime Argoud
;
Ahmed Gharbi
;
Celine Lapeyre
;
Raluca Tiron
;
Cedric Monget
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
placement error;
coat develop track;
resist process;
contact-hole shrink;
3.
Modeling and Parameter Tuning for Templated Directed Self-Assembly
机译:
模板定向自组装的建模和参数优化
作者:
B. Meliorisz
;
T. Muelders
;
H.-J. Stock
;
S. Marokkey
;
W. Demmerle
;
K. Lai
;
A. Raghunathan
;
P. Dhagat
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
modeling;
simulation;
optimization;
DSA defectivity;
4.
Dots-on-the-fly electron beam lithography
机译:
飞行点电子束光刻
作者:
Tero J. Isotalo
;
Tapio Niemi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
periodic nano-structures;
electron beam lithography;
nano-particle arrays;
nano-fabrication;
optoelectronics;
plasmonics;
5.
Nano-imprint lithography using Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers
机译:
使用聚甲基丙烯酸甲酯(PMMA)和聚苯乙烯(PS)聚合物的纳米压印光刻
作者:
Yung-Chiang Ting
;
Shyi-Long Shy
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
PMMA polymer;
PS polymer;
Thermal nano-imprint lithography;
6.
Nanoscale patterning in ambient conditions using liquid electromigration
机译:
使用液体电迁移在环境条件下进行纳米图案化
作者:
Santanu Talukder
;
Praveen Kumar
;
Rudra Pratap
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Electromigration;
lithography;
vector scan;
large area patterning;
7.
Control of morphological defects at the boundary between the periodic and non-periodic patterns in directed self-assembly process
机译:
定向自组装过程中周期性和非周期性模式边界处的形态缺陷控制
作者:
Akihisa Yoshida
;
Kenji Yoshimoto
;
Masahiro Ohshima
;
Katsuyoshi Kodera
;
Yoshihiro Naka
;
Hideki Kanai
;
Sachiko Kobayashi
;
Simon Maeda
;
Phubes Jiravanichsakul
;
Katsutoshi Kobayashi
;
Hisako Aoyama
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly;
diblock copolymer;
PS-b-PMMA;
lamella;
non-periodic;
simulation;
8.
Directed self-assembly of Si-containing and topcoat free block copolymer
机译:
含硅无面漆嵌段共聚物的定向自组装
作者:
Tasuku Matsumiya
;
Takehiro Seshimo
;
Tsuyoshi Kurosawa
;
Hitoshi Yamano
;
Ken Miyagi
;
Tomotaka Yamada
;
Katsumi Ohmori
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly (DSA);
Block copolymer (BCP);
High chi BCP;
Si-containing;
Topcoat free;
Graphoepitaxy;
Perpendicular lamella;
9.
Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing
机译:
纳米压印系统的开发和大批量半导体制造的现状
作者:
Tsuneo Takashima
;
Yukio Takabayashi
;
Naosuke Nishimura
;
Keiji Emoto
;
Takahiro Matsumoto
;
Tatsuya Hayashi
;
Atsushi Kimura
;
Jin Choi
;
Phil Schumaker
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
nanoimprint lithography;
NIL;
overlay;
throughput;
defectivity;
particles;
mask life;
10.
Defectivity and Particle Reduction For Mask Life Extension, and Imprint Mask Replication For High Volume Semiconductor Manufacturing
机译:
降低缺陷率和减少颗粒,以延长掩模寿命,并复制压印掩模,以实现大批量半导体制造
作者:
Keiji Emoto
;
Fumio Sakai
;
Chiaki Sato
;
Yukio Takabayashi
;
Hitoshi Nakano
;
Tsuneo Takashima
;
Kiyohito Yamamoto
;
Tadashi Hattori
;
Mitsuru Hiura
;
Toshiaki Ando
;
Yoshio Kawanobe
;
Hisanobu Azuma
;
Takehiko Iwanaga
;
Jin Choi
;
Ali Aghili
;
Chris Jones
;
J.W.Irving
;
Brian Fletcher
;
Zhengmao Ye
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
nanoimprint lithography;
NIL;
defectivity;
particles;
mask life;
11.
Pattern Fidelity Improvement of Chemo-Epitaxy DSA Process for High Volume Manufacturing
机译:
用于大批量生产的化学外延DSA工艺的图案逼真度改进
作者:
Makoto Muramatsu
;
Takanori Nishi
;
Gen You
;
Yusuke Saito
;
Yasuyuki Ido
;
Kiyohito Ito
;
Toshikatsu Tobana
;
Masanori Hosoya
;
Weichien Chen
;
Satoru Nakamura
;
Mark Somervell
;
Takahiro Kitano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly;
chemo-epitaxy;
defect;
LER/LWR;
12.
DSA Via Hole Shrink for Advanced Node Applications
机译:
用于高级节点应用的DSA通孔收缩
作者:
Cheng Chi
;
Chi-Chun Liu
;
Luciana Meli
;
Kristin Schmidt
;
Yongan Xu
;
Anuja DeSilva
;
Martha Sanchez
;
Richard Farrell
;
Hongyun Cottle
;
Daiji Kawamura
;
Lovejeet Singh
;
Tsuyoshi Furukawa
;
Kafai Lai
;
Jed W. Pitera
;
Daniel Sanders
;
David Hetzer
;
Andrew Metz
;
Nelson Felix
;
John Arnold
;
Matthew Colburn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
Lamellae forming-BCP;
contact hole;
Directed Self-assembly;
13.
Manufacturability of dense hole arrays with directed self-assembly using the CHIPS flow
机译:
使用CHIPS流进行定向自组装的密集孔阵列的可制造性
作者:
Arjun Singh
;
Jaewoo Nam
;
Jongsu Lee
;
Boon Teik Chan
;
Hengpeng Wu
;
Jian Yin
;
Yi Cao
;
Roel Gronheid
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
frequency multiplication;
contact holes;
chemo-epitaxy;
hexagonal array;
cylindrical phase;
LCDU;
placement accuracy;
14.
Process highlights to enhance DSA contact patterning performances
机译:
工艺亮点可增强DSA接触图案性能
作者:
A. Gharbi
;
R. Tiron
;
M. Argoud
;
G. Chamiot-Maitral
;
A. Fouquet
;
C. Lapeyre
;
P. Pimento Barros
;
A. Sarrazin
;
I. Servin
;
F. Delachat
;
S. Bos
;
S. Berard-Bergery
;
J. Hazart
;
X. Chevalier
;
C. Nicolet
;
C. Navarro
;
I. Cayrefourcq
;
S. Bouanani
;
C. Monget
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
block copolymer;
self-assembly;
contact shrink;
contact multiplication;
planarization;
15.
Development of a MEMS electrostatic condenser lens array for nc-Si surface electron emitters of the Massive Parallel Electron Beam Direct-Write system
机译:
大规模平行电子束直接写入系统的nc-Si表面电子发射器的MEMS静电电容透镜阵列的开发
作者:
A. Kojima
;
N. Ikegami
;
T. Yoshida
;
H. Miyaguchi
;
M. Muroyama
;
S. Yoshida
;
K. Totsu
;
N. Koshida
;
M. Esashi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
massive parallel;
MEMS;
nanocrystalline silicon;
condenser lens array;
electron beam lithography;
16.
Non-CAR resists and advanced materials for massively parallel e-beam direct write process integration
机译:
非CAR抗蚀剂和先进材料,用于大规模并行电子束直接写入过程集成
作者:
Marie-Line Pourteau
;
Isabelle Servin
;
Kevin Lepinay
;
Cyrille Essomba
;
Bernard DalZotto
;
Jonathan Pradelles
;
Ludovic Lattard
;
Pieter Brandt
;
Marco Wieland
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
advanced e-beam resists;
low-energy e-beam lithography;
massively parallel mask-less electron beam lithography;
non-CAR;
CDL;
charging;
LWR;
top-coat;
etch transfer;
17.
Prediction of positioning error in EB lithography
机译:
EB光刻中的定位误差预测
作者:
Masaki Kimura
;
Kazuo Goda
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
EB lithography;
photomask;
position error;
charging effect;
charge-up;
resist;
simulation;
electric dipole;
18.
Improvement of sub-20nm pattern quality with dose modulation technique for NIL template production
机译:
使用剂量调制技术改善NIL模板生产的20nm以下图形质量
作者:
Keisuke Yagawa
;
Kunihiro Ugajin
;
Machiko Suenaga
;
Shingo Kanamitsu
;
Takeharu Motokawa
;
Kazuki Hagihara
;
Yukiyasu Arisawa
;
Sachiko Kobayashi
;
Masato Saito
;
Masamitsu Ito
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
19.
New placement estimator for contact hole printed with DSA
机译:
DSA印刷的接触孔的新位置估算器
作者:
L. Schneider
;
V. Farys
;
E. Serret
;
C. Fenouillet-Beranger
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
Placement estimator;
Otha-Kawasaki;
20.
Strategies to enable Directed Self-Assembly Contact Hole Shrink for Tight Pitches
机译:
为紧密间距实现定向自组装接触孔收缩的策略
作者:
Kristin Schmidt
;
Hitoshi Osaki
;
Kota Nishino
;
Martha Sanchez
;
Chi-Chun Liu
;
Tsuyoshi Furukawa
;
Cheng Chi
;
Jed Pitera
;
Nelson Felix
;
Daniel Sanders
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed Self-Assembly;
Block Copolymer;
Contact Hole Shrink;
High chi;
21.
A route to industry compatible directed self-assembly of high-chi PS-PDMS block copolymers
机译:
高相容性PS-PDMS嵌段共聚物走向行业兼容的定向自组装的途径
作者:
S. Boehme
;
C. Girardot
;
J. Garnier
;
J. Arias-Zapata
;
S. Arnaud
;
R. Tiron
;
O. Marconot
;
D. Buttard
;
M. Zelsmann
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
block copolymers (BCPs);
polystyrene-block-polydimethylsiloxane (PS-b-PDMS);
high-X;
plasma etching;
silicon nanostructures;
plasticizers;
22.
Defectivity prediction for droplet-dispensed UV nanoimprint lithography, enabled by fast simulation of resin flow at feature, droplet, and template scales
机译:
通过在特征,液滴和模板比例下快速模拟树脂流动,可以预测液滴分布的UV纳米压印光刻的缺陷率
作者:
Hayden K. Taylor
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Nanoimprint lithography;
multi-scale;
computational lithography;
simulation;
design for manufacture;
23.
Directed Self Assembly (DSA) Compliant Flow with Immersion Lithography - From Material to Design and Patterning
机译:
具有浸没式光刻的直接自组装(DSA)兼容流程-从材料到设计和图案制作
作者:
Yuansheng Ma
;
Yan Wang
;
James Word
;
Junjiang Lei
;
Joydeep Mitra
;
J. Andres Torres
;
Le Hong
;
Germain Fenger
;
Daman Khaira
;
Moshe Preil
;
Lei Yuan
;
Jongwook Kye
;
Harry J. Levinson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly (DSA);
grapho-epitaxy;
guiding pattern;
DSA template;
DSA grouping and decomposition;
Template Error Enhancement Factor (TEEF);
DSA material selection;
24.
Shape Optimization for DSA
机译:
DSA的形状优化
作者:
Gaddiel Ouaknin
;
Nabil Laachi
;
Kris Delaney
;
Glenn Fredrickson
;
Frederic Gibou
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
DSA;
level-set;
shape optimization;
SCFT;
inverse problem;
25.
Exploring the potential of Multiphoton Laser Ablation Lithography (MP-LAL) as a reliable technique for sub50 nm patterning
机译:
探索多光子激光烧蚀光刻技术(MP-LAL)作为低于50 nm图案化的可靠技术的潜力
作者:
Theodoros Manouras
;
Evangelos Angelakos
;
Maria Vamvakaki
;
Panagiotis Argitis
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Multiphoton Lithography;
Multiphoton processes;
Laser ablation on polymeric materials;
MP-LAL;
High resolution laser ablation;
Continuous wave laser ablation;
Methacrylate copolymers;
26.
Design and fabrication of electrostatic microcolumn in multiple electron-beam lithography
机译:
电子束光刻中静电微柱的设计与制造
作者:
Zhidong Du
;
Ye Wen
;
Luis Traverso
;
Anurup Datta
;
Chen Chen
;
Xianfan Xu
;
Liang Pan
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
electron-beam lithography;
multiple beam direct write;
electron optics;
microcolumn;
maskless lithography;
27.
Experiments towards Establishing of Design Rules for R2R-UV-NIL with Polymer Working Shims
机译:
建立带有聚合物工作垫片的R2R-UV-NIL设计规则的实验
作者:
Dieter Nees
;
Stephan Ruttloff
;
Ursula Palfinger
;
Barbara Stadlober
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Roll-to-Roll-UV-nanoimprint lithography;
R2R-UV-NIL;
polymer working shims;
design rules;
nanoimprint proximity correction;
design for manufacturing;
28.
DSA patterning options for FinFET formation at 7nm node
机译:
用于在7nm节点上形成FinFET的DSA图案选择
作者:
Chi-Chun (Charlie) Liu
;
Elliott Franke
;
Fee Li Lie
;
Stuart Sieg
;
Hsinyu Tsai
;
Kafai Lai
;
Hoa Truong
;
Richard Farrell
;
Mark Somervell
;
Daniel Sanders
;
Nelson Felix
;
Michael Guillorn
;
Sean Burns
;
David Hetzer
;
Akiteru Ko
;
John Arnold
;
Matthew Colburn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly;
DSA;
block copolymer;
BCP;
Chemoepitaxy;
Graphoepitaxy;
FinFET;
customization;
29.
Virtual fabrication using Directed Self-Assembly for process optimization in a 14nm DRAM
机译:
使用定向自组装进行虚拟制造以优化14nm DRAM中的工艺
作者:
Mattan Kamon
;
Mustafa Akbulut
;
Yiguang Yan
;
Daniel Faken
;
Andras Pap
;
Vasanth Allampalli
;
Ken Greiner
;
David Fried
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed Self-Assembly;
DSA;
Virtual Fabrication;
Process Integration;
DRAM;
Chemoepitaxy;
yield optimization;
30.
Complete Data Preparation Flow for Massively Parallel E-beam Lithography on 28nm Node Full Field Design
机译:
28nm节点全场设计上大规模并行电子束光刻的完整数据准备流程
作者:
Aurelien Fay
;
Clyde Browning
;
Pieter Brandt
;
Jacky Chartoire
;
Sebastien Berard-Bergery
;
Jerome Hazart
;
Alexandre Chagoya
;
Sergei Postnikov
;
Mohamed Saib
;
Ludovic Lattard
;
Patrick Schiavone
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Massively Parallel Mask-less E-beam lithography;
Data Preparation;
Cycle Time;
Proximity Effect Correction;
Verification;
28nm Metal1;
Simulation;
31.
A Paradigm Shift in Patterning Foundation from Frequency Multiplication to Edge-Placement Accuracy: A Novel Processing Solution by Selective Etching and Alternating-Material Self-Aligned Multiple Patterning
机译:
图案基础从频率乘法到边缘放置精度的范式转变:通过选择性蚀刻和交替材料自对准多重图案的新型处理解决方案
作者:
Ting Han
;
Hongyi Liu
;
Yijian Chen
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
edge-placement errors (EPE);
alternating-material (dual-material) self-aligned multiple patterning (altSAMP);
alternating-material (dual-material) self-aligned quadruple/sextuple patterning (altSAQP/altSASP);
selective etching;
cut-hole layout decomposition;
probability of failure (POF);
32.
Requirements of the e-beam shot quality for mask patterning of the sub-1X device
机译:
Sub-1X设备的掩模图案对电子束发射质量的要求
作者:
Sinjeung Park
;
Jongmun Park
;
Boram Lee
;
Jin Choi
;
In Kyun Shin
;
Chan-Uk Jeon
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Photomask;
Pattern complexity;
Variable Shaped Beam;
E-beam writer;
Shot quality;
Data fracturing;
33.
High Throughput Jet and Flash Imprint Lithography for semiconductor memory applications
机译:
适用于半导体存储应用的高通量喷射和闪存压印光刻
作者:
Wei Zhang
;
Brian Fletcher
;
Ecron Thompson
;
Weijun Liu
;
Tim Stachowiak
;
Niyaz Khusnatdinov
;
J. W. Irving
;
Whitney Longsine
;
Matthew Traub
;
Van Truskett
;
Dwayne LaBrake
;
Zhengmao Ye
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
nanoimprint lithography;
NIL throughput;
non-fill defects;
34.
Sub-15nm Patterning Technology using Directed Self-Assembly on Nano-Imprinting Guide
机译:
在纳米压印指南上使用定向自组装进行亚15纳米构图技术
作者:
Seiji Morita
;
Masahiro Kanno
;
Ryosuke Yamamoto
;
Norikatsu Sasao
;
Shinobu Sugimura
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Lithography;
Nano-imprint;
NIL;
DSA;
Shrink;
UV resin;
Organic material;
Block copolymer;
35.
Numerical placement analysis in hole multiplication patterns for directed self-assembly
机译:
定向自组装的孔倍增模式中的数值放置分析
作者:
K. Yamamoto
;
T. Nakano
;
M. Muramatsu
;
H. Genjima
;
T. Tomita
;
K. Matsuzaki
;
T. Kitano
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Hole doublets;
Hole placement error;
Dissipative particle dynamics simulation;
Grapho-epitaxy;
Directed self-assembly;
Computational analysis;
36.
Sub-10 nm lines and spaces patterning using grapho-epitaxial directed self-assembly of lamellar block copolymers
机译:
层状嵌段共聚物的石墨外延定向自组装形成亚10纳米线和空间
作者:
Yuriko Seino
;
Hironobu Sato
;
Yusuke Kasahara
;
Shinya Minegishi
;
Ken Miyagi
;
Hitoshi Kubota
;
Hideki Kanai
;
Katsuyoshi Kodera
;
Masayuki Shiraishi
;
Naoko Kihara
;
Yoshiaki Kawamonzen
;
Toshikatsu Tobana
;
Katsutoshi Kobayashi
;
Hitoshi Yamano
;
Satoshi Nomura
;
Tsukasa Azuma
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
grapho-epitaxial directed self-assembly;
lamellar block copolymer;
line-and-space pattern;
37.
Chemoepitaxial guiding underlayers for density asymmetric and energetically asymmetric diblock copolymers
机译:
化学外延导向底层,用于密度不对称和能量不对称的二嵌段共聚物
作者:
Benjamin D. Nation
;
Peter J. Ludovice
;
Clifford L. Henderson
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
directed self-assembly;
block copolymer;
simulation;
chemoepitaxy;
molecular dynamics;
process window;
38.
Lithography Alternatives meet Design Style Reality; How do they 'Line' Up?
机译:
平版印刷术可以满足设计风格的现实要求;他们如何“排队”?
作者:
Michael C. Smayling
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Low k_1;
1D design style;
gridded design rules;
pitch division;
lines and cuts;
design source mask optimization (DSMO);
self-aligned pitch division;
DSA;
CEBL;
39.
Contact Hole Patterning by Electric-Field Assisted Assembly of Core-Shell Nanoparticles
机译:
电场辅助核壳纳米粒子组装的接触孔图案化
作者:
Xuexue Guo
;
Lan Lin
;
Theresa S. Mayer
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Electric-field assisted assembly;
core-shell nanoparticles;
contact hole;
dielectrophoretic (DEP) force;
pattern placement error;
40.
Enhanced Patterning by Tilted Ion Implantation
机译:
通过倾斜离子注入增强图案
作者:
Sang Wan Kim
;
Peng Zheng
;
Kimihiko Kato
;
Leonard Rubin
;
Tsu-Jae King Liu
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
alternative lithography;
tilted ion implantation;
self-align;
low-cost;
structural damage;
enhanced etch rate;
41.
Nanoimprint lithography using disposable biomass template
机译:
使用一次性生物质模板的纳米压印光刻
作者:
Makoto Hanabata
;
Satoshi Takei
;
Kigen Sugahara
;
Shinya Nakajima
;
Naoto Sugino
;
Takao Kameda
;
Jiro Fukushima
;
Yoko Matsumoto
;
Atsushi Sekiguchi
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
thermal nanoimprint lithography;
template;
mold;
biomass;
cellulose;
defect reduction;
42.
Improved cost-effectiveness of the block co-polymer anneal process for DSA
机译:
改进的DSA嵌段共聚物退火工艺的成本效益
作者:
Hari Pathangi
;
Maarten Stokhof
;
Werner Knaepen
;
Varun Vaid
;
Arindam Mallik
;
Boon Teik Chan
;
Nadia Vandenbroeck
;
Jan Willem Maes
;
Roel Gronheid
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly;
chemo epitaxy;
defect reduction;
cost of ownership;
batch anneal;
43.
NIL defect performance toward High volume mass production
机译:
面向大批量生产的NIL缺陷性能
作者:
Masayuki Hatano
;
Kei Kobayashi
;
Hiroyuki Kashiwagi
;
Hiroshi Tokue
;
Takuya Kono
;
Nakasugi Tetsuro
;
Eun Hyuk Choi
;
Wooyung Jung
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Nanoimprint;
Lithography;
Template;
Defect classification;
Defect control;
44.
DSA materials contributions to the defectivity performance of 14 nm half-pitch LiNe flow @ imec
机译:
DSA材料有助于14 nm半节距LiNe流动@ imec的缺陷性能
作者:
Hari Pathangi
;
Varun Vaid
;
Boon Teik Chan
;
Nadia Vandenbroeck
;
Jin Li
;
Sung Eun Hong
;
Yi Cao
;
Baskaran Durairaj
;
Guanyang Lin
;
Mark Somervell
;
Takahiro Kitano
;
Ryota Harukawa
;
Kaushik Sah
;
Andrew Cross
;
Hareen Bayana
;
Lucia DUrzo
;
Roel Gronheid
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Directed self-assembly;
chemo epitaxy;
defect reduction;
DSA materials;
Block-co polymer defectivity;
45.
Resist roughness improvement by chemical shrink process
机译:
通过化学收缩工艺提高粗糙度
作者:
Tatsuro Nagahara
;
Takashi Sekito
;
Yuriko Matsuura
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
NTD;
LWR;
LER;
CDU;
pattern roughness;
ArF;
EUV;
shrink;
SPM;
AFM;
46.
Deep-UV Interference Lithography combined with Masked Contact Lithography for Pixel Wiregrid Patterns
机译:
深紫外干涉光刻技术与掩膜接触光刻技术相结合的像素线栅图案
作者:
David Lombardo
;
Piyush Shah
;
Pengfei Guo
;
Andrew Sarangan
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
Interferometric;
deep-UV;
wiregrid polarizers;
47.
Grapho-epitaxial sub-10-nm line and space patterning using lamella-forming Si-containing block copolymer
机译:
使用形成薄片的含硅嵌段共聚物进行Grapho外延亚10纳米以下的线和空间构图
作者:
Hironobu Sato
;
Yusuke Kasahara
;
Naoko Kihara
;
Yuriko Seino
;
Ken Miyagi
;
Shinya Minegishi
;
Hitoshi Kubota
;
Katsutoshi Kobayashi
;
Hideki Kanai
;
Katsuyoshi Kodera
;
Yoshiaki Kawamonzen
;
Masayuki Shiraishi
;
Hitoshi Yamano
;
Satoshi Nomura
;
Tsukasa Azuma
;
Teruaki Hayakawa
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
PMAPOSS-b-PTFEMA;
grapho-epitaxy;
cross-sectional TEM;
pattern transfer;
48.
Reversible Nano-Lithography for Commercial Approaches
机译:
商业方法的可逆纳米光刻
作者:
Jae Hong Park
;
Hyun Ik Jang
;
Woo Choong Kim
;
Hae Su Yun
;
Jun Yong Park
;
Seok Woo Jeon
;
Hee Yeoun Kim
;
Chi Won Ahn
会议名称:
《Conference on alternative lithographic technologies VII》
|
2016年
关键词:
nano patterning;
nano structure;
lithography;
soft;
molding;
imprinting;
commercialization;
意见反馈
回到顶部
回到首页