掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on photomask technology
Conference on photomask technology
召开年:
2010
召开地:
Monterey, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
EUV mask defect mitigation through pattern placement
机译:
通过图案放置减轻EUV掩模缺陷
作者:
John Burns
;
Mansoor Abbas
会议名称:
《Conference on photomask technology》
|
2011年
2.
Optimize the OPC control recipe with cost function
机译:
通过成本函数优化OPC控制配方
作者:
Qingwei Liu
;
Liguo Zhang
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Optical Proximity Correction (OPC);
Resolution Enhancement Technique (RET);
cost function;
3.
Native pattern defect inspection of EUV mask using advanced electron beam inspection system
机译:
使用先进的电子束检查系统检查EUV掩模的原始图案缺陷
作者:
Takeya Shimomura
;
Yuichi Inazuki
;
Tsukasa Abe
;
Tadahiko Takikawa
;
Hiroshi Mohri
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUV lithography;
EUV mask;
Electron beam inspection;
Defect-free mask;
4.
CD inspection by Nuflare NPI 6000 tool
机译:
使用Nuflare NPI 6000工具进行CD检查
作者:
J.Richter
;
C.Utzny
;
J.Heumann
;
Shuichi Tamamushi Noriyuki Takamatsu
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Critical Dimension Uniformity (CDU);
cycle time;
CD signature;
CD inspection;
CD SEM;
5.
Damage/organic free ozonated DI water cleaning on EUVL Ru capping layer
机译:
EUVL Ru覆盖层上的无损坏/无有机物臭氧水去离子水清洗剂
作者:
Seung-ho Lee
;
Bong-kyun Kang
;
Hyuk-min Kim
;
Min-soo Kim
;
Han-ku Cho
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUVL;
mask, lithography;
cleaning;
DIO_3;
organic contaminant;
ruthenium;
roughness.;
6.
Fundamentals and applications of dry CO_2 cryogenic aerosol for photomask cleaning
机译:
干式CO_2低温气雾剂在光罩清洗中的基本原理和应用
作者:
Ivin Varghese
;
Mehdi Balooch
;
Charles W. Bowers
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
CO_2 cryogenic aerosol cleaning;
full mask final clean;
nanomachining debris cleaning;
damage-free cleaning;
advanced node patterned mask clean;
45nm SRAF cleaning;
high aspect ratio SRAFs;
cleaning of soft particles;
7.
28N Foundry Reticle Requal Challenges Solutions for IC Fabs
机译:
28N晶圆厂的晶圆厂面临IC晶圆厂的挑战与解决方案
作者:
Mike Yeh
;
David Wu
;
Bo Mu
;
Bryan Reese
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Early warning;
haze, reticle;
requal;
inspection;
wafer;
8.
An analysis of correlation between scanning direction and defect detection at ultra high resolution
机译:
超高分辨率下扫描方向与缺陷检测的相关性分析
作者:
Kwon Lim
;
SungPil Choi
;
Wonil Cho
;
Dong Hoon Chung
;
Chan-Uk Jeon
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Reticle inspection;
Mask inspection;
Ultra high resolution;
9.
Study of Data I/O Performance on Distributed Disk System in Mask Data Preparation
机译:
掩码数据准备中的分布式磁盘系统的数据I / O性能研究
作者:
Shuichiro Ohara
;
Hiroyuki Odaira
;
Tomoyuki Chikanaga
;
Masakazu Hamaji
;
YasuharuYoshioka
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Mask Data Preparation;
NDE, Distributed Processing;
Distributed Disk System;
10.
Proximity Effect Correction Concerning Forward Scattering
机译:
关于前向散射的邻近效应校正
作者:
Dai Tsunoda
;
Masahiro Shoji
;
Hiroyuki Tsunoe
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EB-Lithography;
proximity effect correction;
parallel processing;
11.
A new CDSEM metrology method for thin film hardmasks patterns using multiple detectors
机译:
使用多个检测器的薄膜硬掩模图案的CD SEM新测量方法
作者:
Sumito Harada
;
Yuta Chihara
;
Motoji Hirano
;
Toshi Iwai
;
Masayuki Kuribara
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
SEM;
Critical Dimension;
CDSEM;
Thin film;
Hardmask;
Multiple detectors;
12.
An optimized OPC and MDP flow for reducing mask write time and mask cost
机译:
优化的OPC和MDP流程可减少掩模写入时间和掩模成本
作者:
Ellyn Yang
;
Cheng He Li
;
Se Jin Park
;
Yu Zhu
;
Eric Guo
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
OPC;
MDP;
Jog smoothing;
Shot count;
EPE;
13.
Duplicated templates for Discrete Track Media
机译:
离散轨道媒体的重复模板
作者:
Atsushi Tatsugawa
;
Noriko Yamashita
;
Tadashi Oomatsu
;
Kenji Saitou
;
Takashi Katou
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
hard disk drive;
patterned media;
DTM;
nanoimprint;
quartz template;
nickel mold;
SPP;
RRO;
14.
Inspection of advanced computational lithography logic reticles using a 193-nm inspection system
机译:
使用193 nm检查系统检查高级计算光刻逻辑掩模版
作者:
Ching-Fang Yu
;
Mei-Chun Lin
;
Mei-Tsu Lai
;
Luke T.H. Hsu
;
Angus Chin
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
reticle inspection;
sensitivity control;
die-to-database;
nuisance alarm;
computational lithography;
SMO;
ILT;
15.
Optimization of double patterning split by analyzing diffractive orders in the pupil plane
机译:
通过分析光瞳平面中的衍射级优化双图案分割
作者:
N.Zeggaoui
;
V.Farys
;
Y.Trouiller
;
E.Yesilada
;
F.Robert
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Optical imaging;
Optical diffraction;
Double patterning decomposition;
16.
Development of EB Inspection System EBeyeM for EUV Mask
机译:
用于EUV面罩的EB检测系统EBeyeM的开发
作者:
Takashi Hirano
;
Shinji Yamaguchi
;
Masato Naka
;
Masamitsu Itoh
;
Motoki Kadowaki
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUV mask;
EB inspection;
projection electron microscope;
defect;
particle;
17.
Detecting measurement outliers - Remeasure efficiently
机译:
检测测量异常值-有效地重新测量
作者:
Albrecht Ullrich
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
CD measurement;
remeasurement;
outlier, cycle time;
CD-SEM;
18.
Advanced Photomask Cleaning for 32nm and Beyond
机译:
适用于32nm及更高波长的高级光掩模清洗
作者:
Jong-Min Kim
;
Young-Jin An
;
Dong-Seok Lee
;
Hyo-Jin Ahn
;
Hyun-Ju Jung
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Cleaning;
Repair;
SRAF;
Scattering bar;
Haze prevention;
Acoustic;
Megasonic;
19.
Study of EUV mask defect repair using FIB method
机译:
FIB方法修复EUV掩模缺陷的研究
作者:
Tsuyoshi Amano
;
Noriaki Takagi
;
Hiroyuki Shigemura
;
Tsuneo Terasawa
;
Osamu Suga
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUV;
mask repair;
defect repair;
clear defect;
focused ion beam;
multi layer etching;
SFET;
20.
Evaluation of the contamination removal capability and Multilayer degradation in various cleaning methods
机译:
评估各种清洁方法中的污染物去除能力和多层降解
作者:
Noriaki Takagi
;
Toshihisa Anazawa
;
Iwao Nishiyama
;
Osamu Suga
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUV-mask;
Ru-capping layer;
Si-capping layer;
Cleaning;
Carbon contamination;
21.
Multi-layer Model vs. Single-layer Model for N and P Doped Poly Layers in Etch Bias Modeling
机译:
刻蚀偏置建模中N和P掺杂的多层的多层模型与单层模型
作者:
Jianliang Li
;
Ezequiel Vidal-Russell
;
Daniel Beale
;
Chunqing Wang
;
Lawrence S. Melvin III
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
photolithography;
modeling;
poly etch;
two-stage OPC;
resist simulation;
etch simulation;
22.
Improving registration metrology by correlation methods based on alias-free image simulation
机译:
基于无别名图像模拟的相关方法改善配准计量
作者:
D. Seidel
;
M. Arnz
;
D. Beyer
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
photomask metrology;
registration;
pattern placement;
aerial image simulation;
image analysis;
super-pixel;
23.
Performance Evaluation Results on 2Xnm Node Enabler for Mask Registration Metrology
机译:
用于掩模配准计量的2Xnm节点启动器的性能评估结果
作者:
O. Loeffler
;
J. Richter
;
A. Wiswesser
;
F. Laske
;
D. Adam
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Registration metrology;
mask-to-mask overlay;
LMS IPRO5;
DPL, double patterning;
32nm HP node;
pellicle induced distortions;
24.
Advanced Cleaning of Nano-Imprint Lithography Template in Patterned Media Applications
机译:
图案媒体应用中纳米压印光刻模板的高级清洗
作者:
Sherjang Singh
;
Ssuwei Chen
;
Peter Dress
;
Nobuo Kurataka
;
Gene Gauzner
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
template cleaning;
patterned media;
nanoimprint;
defect removal;
contamination;
feature damage;
NIL;
25.
High Performance Photomask Technology with the Advanced Binary Film
机译:
带有先进的二进制胶片的高性能光掩模技术
作者:
Koji Murano
;
Kosuke Takai
;
Kunihiro Ugajin
;
Machiko Suenaga
;
Takeharu Motokawa
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
ABF;
binary photomask;
Tantalum;
high durability;
26.
OPC Recipe Optimization Using Simulated Annealing
机译:
使用模拟退火的OPC配方优化
作者:
Tamer Desouky
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
OPC;
RET;
optimization;
simulated annealing;
27.
A full chip MB-SRAF placement using the SRAF guidance map
机译:
使用SRAF指导图的完整芯片MB-SRAF放置
作者:
Min-Chun Tsai
;
Shigeki Nojima
;
Masahiro Miyairi
;
Tatsuo Nishibe
;
Been-Der Chen
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Model based SRAF;
SGM;
lithography;
tapeout;
28.
Substrate Aware OPC Rules for Edge Effect in Block Levels
机译:
具有块级边缘效应的Substrate Aware OPC规则
作者:
Dongbing Shao
;
Todd C. Bailey
;
Ian Stobert
;
Irene Popova
;
Chan Sam Chang
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
photoresist modeling;
photoresist simulation;
computational lithography;
OPC model calibration;
OPC validation;
29.
Prospect of EUV mask repair technology using e-beam tool
机译:
使用电子束工具的EUV面膜修复技术的前景
作者:
Shingo Kanamitsu
;
pTakashi Hirano
;
Osamu Suga
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUV mask;
EB, etching;
repair;
30.
Fundamental Study of Droplet Spray Characteristics in Photomask Cleaning for Advanced Lithography
机译:
用于高级光刻的光掩模清洗中的液滴喷雾特性的基础研究
作者:
C.L. Lu
;
C.H. Yu
;
W.H. Liu
;
Luke Hsu
;
Angus Chin
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
EUV lithography;
193-nm lithography;
binary spray cleaning;
SRAF damage;
PRE;
photomask;
droplet;
31.
Qualification of BitClean™ Technology in Photomask Production
机译:
在光掩模生产中获得BitClean™技术的资格
作者:
Tod Robinson
;
Roy White
;
Ron Bozak
;
Mike Archuletta
;
David Brinkley
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
photomask repair;
nano;
particle;
clean;
foreign material;
removal;
32.
Advanced Mask CD MTT Correction Technique through Improvement of CD Measurement Repeatability of CD SEM
机译:
通过改进CD测量的可重复性,先进的掩模CD MTT校正技术
作者:
Choong Han Ryu
;
Ho Yong Jung
;
Jea Young Jun
;
Tae Joong Ha
;
Chang Reol Kim
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
CD MTT;
CD fluctuation;
CD correction;
correction;
PSM;
33.
Mask Process Correction (MPC) modeling and its application to EUV mask for Electron beam mask writer, EBM-7000
机译:
掩模工艺校正(MPC)建模及其在电子束掩模写入器EBM-7000的EUV掩模中的应用
作者:
Takashi Kamikubo
;
Takayuki Ohnishi
;
Shigehiro Hara
;
Hirohito Anze
;
Yoshiaki Hattori
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
electron beam mask writer;
mask process correction;
MPC;
EUV;
CD linearity;
Proximity effect;
34.
Study of EUV mask inspection technique using DUV light source for hp22nm and beyond
机译:
使用hp22nm及更高波长的DUV光源进行EUV掩模检查技术的研究
作者:
Ryoichi Hirano
;
Nobutaka Kikuiri
;
Hideaki Hashimoto
;
Kenichi Takahara
;
Masatoshi Hirono
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Mask;
Defects;
Inspection;
Lithography;
ArF;
EUVL;
DUV;
TDI;
Super-resolution;
Polarization illumination;
Die-to-Database;
35.
Integrated Mask and Optics Simulations for Mask Corner Rounding Effect in OPC Modeling
机译:
集成的蒙版和光学仿真,用于OPC建模中的蒙版圆角效果
作者:
Jing Xue
;
Zhijie Deng
;
Kyoil Koo
;
James Shiely
;
Sooryong Lee
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Integrated Mask and Optics (IMO);
Mask Corner Rounding (MCR);
Mask Error;
OPC modeling;
36.
Using Principal Component Analysis for Photomask CD signature investigations
机译:
使用主成分分析进行光掩膜CD签名调查
作者:
G. R. Cantrell
;
Christian Btirgel
;
Axel Feicke
;
Martin Sczyrba
;
Clemens Utzny
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
critical dimension (CD) errors;
CD uniformity (CDU);
principal component analysis (PCA);
chemically amplified resist (CAR);
stability;
37.
Improving Registration Measurement Capability by Defining a 2D Grid Standard Using Multiple Registration Measurement Tools
机译:
通过使用多个套准测量工具定义2D网格标准来提高套准测量能力
作者:
O. Loeffler
;
G. Antesberger
;
A. Ullrich
;
J. Richter
;
A. Wiswesser
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Pattern placement error;
measurement capability;
2D grid artifact;
matching;
double patterning;
38.
In-Die registration metrology - design data preparation solution
机译:
模内配准计量-设计数据准备解决方案
作者:
Frank Laske
;
Loc Ho
;
Michael Ferber
;
Klaus-Dieter Roeth
;
Dieter Adam
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
In-Die Registration;
Marking;
Automation;
CATS~®;
Center-of-gravity;
39.
Challenges and Promises in the Fabrication of Bit Patterned Media
机译:
位图案媒体制作中的挑战与承诺
作者:
Matthew T. Moneck
;
Jian-Gang Zhu
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
bit patterned media;
methanol RIE;
ICP RIE;
ion milling;
40.
NP-completeness result for positive line-by-fill SADP process
机译:
正面逐行填充SADP过程的NP完整性结果
作者:
Qiao Li
会议名称:
《Conference on photomask technology》
|
2011年
41.
Optical proximity correction challenges with highly elliptical contacts.
机译:
高度椭圆形触点对光学邻近校正提出了挑战。
作者:
Chris Cork
;
Levi Barnes
;
Yang Ping
;
Xiaohai Li
;
Stephen Jang
会议名称:
《Conference on photomask technology》
|
2011年
42.
Advanced Laser Mask Repair in the Current Wafer Foundry Environment
机译:
当前晶圆铸造环境中的高级激光掩模修复
作者:
Tod Robinson
;
Daniel Yi
;
JeffLeClaire
;
Roy White
;
Ron Bozak
;
Mike Archuletta
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
photomask;
repair;
femtosecond pulse;
laser;
through-pellicle;
TPR;
43.
Impact of New MoSi Mask Compositions on Processing and Repair
机译:
新型MoSi掩模组合物对加工和修复的影响
作者:
Anthony Garetto
;
John Stuckey
;
Don Butler
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
MeRiT~® MG45;
AIMS~(™) 45-193i;
mask repair;
defect repair;
new MoSi materials;
Hi-T;
high transmission;
44.
Simultaneous source-mask optimization:a numerical combining method
机译:
同时进行源掩码优化:数值组合方法
作者:
Thomas Miilders
;
Vitaliy Domnenko
;
Bernd Kiichler
;
Thomas Klimpel
;
Hans-Jiirgen Stock
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
source-mask optimization;
computational lithography;
process variations;
DoF;
MEEF;
pixelated source;
mask correction;
45.
Optimized reticle alignment structures for minimizing aberration sensitivities and pattern shifts
机译:
优化的光罩对准结构,可最大程度地降低像差灵敏度和图案偏移
作者:
Barry Moest
;
Mark van de Kerkhof
;
Haico Kok
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
reticle alignment;
hyper-NA;
low k1;
dual patterning;
46.
Affordable and Process Window Increasing Full Chip ILT Masks
机译:
经济实惠的工艺窗口增加了全芯片ILT掩模
作者:
Guangming Xiao
;
Dave Irby
;
Tom Cecil
;
David Kim
;
Shuichiro Ohara
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Inverse lithography technology (ILT);
Sub-resolution assist feature (SRAF);
Resolution enhancement technology (RET);
e-beam shot count;
e-beam mask write time;
32nm below;
low-k1 lithography;
mask cost reduction;
47.
Study and improvement approach to 193-nm radiation damage of attenuated phase-shift mask
机译:
衰减相移掩模对193 nm辐射损伤的研究和改进方法
作者:
Yoshifumi Sakamoto
;
Tomohito Hirose
;
Hitomi Tsukuda
;
Taichi Yamazaki
;
Yosuke Kojima
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Optical lithography;
Attenuated phase-shift mask;
193nm ArF excimer laser;
Radiation damage;
CD degradation;
48.
Study of the airborne SO_2 and NH_3 contamination on Cr, MoSi and quartz surfaces of photomasks
机译:
研究光掩模中Cr,MoSi和石英表面上空气中SO_2和NH_3的污染
作者:
H. Fontaine
;
G. Demenet
;
V. Enyedi
;
S. Cetre
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
AMC;
sulfur dioxide;
ammonia;
threshold concentration;
adsorption kinetic;
crystal growth / haze;
49.
Lithographic Plane Review (LPR) for sub-32nm Mask Defect Disposition
机译:
用于32nm以下掩模缺陷处理的光刻平面审查(LPR)
作者:
Vikram Tolani
;
Danping Peng
;
Lin He
;
George Hwa
;
Hsien-Min Chang
;
et al
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
32nm and below;
Mask Inspection;
Computational Inspection;
Computational Lithography;
Pattern Recovery;
Inverse Lithography Technology (ILT);
EUV Inspection;
50.
Study of shape evaluation for mask and silicon using large field of view
机译:
大视野下的掩模和硅的形状评价研究
作者:
Ryoichi Matsuoka
;
Hiroaki Mito
;
Shinichi Shinoda
;
Yasutaka Toyoda
会议名称:
《Conference on photomask technology》
|
2011年
51.
Computational Lithography Inspection (CLI) and its Applications in Mask Inspection, Metrology, Review, and Repair
机译:
计算光刻与检查(CLI)及其在面罩检查,计量,检查和维修中的应用
作者:
Linyong Pang
;
Danping Peng Lin He
;
Dongxue Chen
;
Vikram Tolani
会议名称:
《Conference on photomask technology》
|
2011年
关键词:
Computation lithography;
computational inspection;
mask inspection;
mask metrology;
mask repair;
mask pattern recovery;
mask defect disposition;
意见反馈
回到顶部
回到首页