掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE/ACM International Symposium on Microarchitecture
IEEE/ACM International Symposium on Microarchitecture
召开年:
2011
召开地:
Porto Alegre(BR)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Resilient microring resonator based photonic networks
机译:
基于弹性微环谐振器的光子网络
作者:
Christopher J. Nitta
;
Matthew K. Farrens
;
Venkatesh Akella
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Optical resonators;
Optical fiber communication;
Ports (Computers);
Photonics;
Optical waveguides;
Reliability;
Resilience;
2.
FeatherWeight: Low-cost optical arbitration with QoS support
机译:
FeatherWeight:具有QoS支持的低成本光学仲裁
作者:
Yan Pan
;
John Kim
;
Gokhan Memik
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Quality of service;
System-on-chip;
Bandwidth;
Silicon;
3.
Identifying and predicting timing-critical instructions to boost timing speculation
机译:
识别和预测关键时序指令以增强时序推测
作者:
Jing Xin
;
Russ Joseph
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Timing;
Error analysis;
Logic gates;
Random access memory;
Pipelines;
Hardware;
Optimization;
4.
Idempotent processor architecture
机译:
等幂处理器架构
作者:
Marc de Kruijf
;
Karthikeyan Sankaralingam
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Hardware;
Out of order;
Complexity theory;
Retirement;
Computers;
Computer architecture;
5.
A new case for the TAGE branch predictor
机译:
TAGE分支预测器的新案例
作者:
André Seznec
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
History;
Benchmark testing;
Hardware;
Radiation detectors;
Measurement;
Silicon;
Servers;
6.
TransCom: Transforming stream communication for load balance and efficiency in networks-on-chip
机译:
TransCom:转换流通信以实现片上网络的负载平衡和效率
作者:
Ahmed H. Abdel-Gawad
;
Mithuna Thottethodi
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Routing;
System recovery;
Optimization;
Programming;
Hardware;
Throughput;
Program processors;
7.
Bubble-up: Increasing utilization in modern warehouse scale computers via sensible co-locations
机译:
冒泡:通过合理的托管,提高现代仓库规模计算机的利用率
作者:
Jason Mars
;
Lingjia Tang
;
Robert Hundt
;
Kevin Skadron
;
Mary Lou Soffa
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Quality of service;
Degradation;
Sensitivity;
Interference;
Servers;
Google;
Production;
8.
Active management of timing guardband to save energy in POWER7
机译:
主动管理定时保护带以节省POWER7中的能源
作者:
Charles R. Lefurgy
;
Alan J. Drake
;
Michael S. Floyd
;
Malcolm S. Allen-Ware
;
Bishop Brock
;
Jose A. Tierno
;
John B. Carter
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Timing;
Microprocessors;
Voltage control;
Power supplies;
Voltage measurement;
Servers;
Clocks;
9.
Bundled execution of recurring traces for energy-efficient general purpose processing
机译:
捆绑执行重复跟踪以实现节能通用处理
作者:
Shantanu Gupta
;
Shuguang Feng
;
Amin Ansari
;
Scott Mahlke
;
David August
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Program processors;
Pipelines;
Latches;
Market research;
Bridges;
Computer science;
Batteries;
10.
System-level integrated server architectures for scale-out datacenters
机译:
用于横向扩展数据中心的系统级集成服务器体系结构
作者:
Sheng Li
;
Kevin Lim
;
Paolo Faraboschi
;
Jichuan Chang
;
Parthasarathy Ranganathan
;
Norman P. Jouppi
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Servers;
Market research;
Computer architecture;
System-on-chip;
Process control;
Space exploration;
Graphics;
11.
Architectural support for secure virtualization under a vulnerable hypervisor
机译:
在易受攻击的管理程序下对安全虚拟化的架构支持
作者:
Seongwook Jin
;
Jeongseob Ahn
;
Sanghoon Cha
;
Jaehyuk Huh
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Virtual machine monitors;
Hardware;
Virtual machining;
Virtualization;
Memory management;
Context;
Cloud computing;
12.
Proactive instruction fetch
机译:
主动获取指令
作者:
Michael Ferdman
;
Cansu Kaynak
;
Babak Falsafi
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Prefetching;
Servers;
Microarchitecture;
Hardware;
History;
Filtering;
Correlation;
13.
QSCORES: Trading dark silicon for scalable energy efficiency with quasi-specific cores
机译:
QSCORES:使用准特定内核交易暗硅以实现可扩展的能源效率
作者:
Ganesh Venkatesh
;
Jack Sampson
;
Nathan Goulding-Hotta
;
Sravanthi Kota Venkata
;
Michael Bedford Taylor
;
Steven Swanson
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Ions;
Redundancy;
14.
Complementing user-level coarse-grain parallelism with implicit speculative parallelism
机译:
用隐含的推测性并行性补充用户级别的粗粒度并行性
作者:
Nikolas Ioannou
;
Marcelo Cintra
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Informatics;
Servers;
Lead;
Writing;
Acceleration;
Hardware;
Routing;
15.
Hardware transactional memory for GPU architectures
机译:
适用于GPU架构的硬件事务存储
作者:
Wilson W. L. Fung
;
Inderpreet Singh
;
Andrew Brownsword
;
Tor M. Aamodt
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Graphics processing units;
Instruction sets;
Hardware;
System recovery;
Programming;
Synchronization;
Computer architecture;
16.
Minimalist open-page: A DRAM page-mode scheduling policy for the many-core era
机译:
极简开放页面:多核时代的DRAM页面模式调度策略
作者:
Dimitris Kaseridis
;
Jeffrey Stuecheli
;
Lizy Kurian John
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Random access memory;
Delays;
Arrays;
Prefetching;
Complexity theory;
17.
The NoX router
机译:
NoX路由器
作者:
Mitchell Hayenga
;
Mikko Lipasti
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Switches;
Ports (Computers);
Decoding;
Computer architecture;
Timing;
Logic gates;
Registers;
18.
Improving GPU performance via large warps and two-level warp scheduling
机译:
通过大扭曲和两级扭曲调度来提高GPU性能
作者:
Veynu Narasiman
;
Michael Shebanow
;
Chang Joo Lee
;
Rustam Miftakhutdinov
;
Onur Mutlu
;
Yale N. Patt
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Graphics processing units;
Instruction sets;
Processor scheduling;
Pipelines;
Registers;
Benchmark testing;
Scheduling;
19.
Pay-As-You-Go: Low-overhead hard-error correction for phase change memories
机译:
现收现付:相变存储器的低开销硬错误校正
作者:
Moinuddin K. Qureshi
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Phase change materials;
Error correction;
Transient analysis;
Phase change memory;
Organizations;
Proposals;
Scalability;
20.
Pack Cap: Adaptive DVFS and thread packing under power caps
机译:
Pack&Cap:功率帽下的自适应DVFS和螺纹包装
作者:
Ryan Cochran
;
Can Hankendi
;
Ayse K. Coskun
;
Sherief Reda
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Runtime;
Instruction sets;
Multicore processing;
Power demand;
Servers;
Benchmark testing;
Energy consumption;
21.
Preventing PCM banks from seizing too much power
机译:
防止PCM组占用过多功率
作者:
Andrew Hay
;
Karin Strauss
;
Timothy Sherwood
;
Gabriel H. Loh
;
Doug Burger
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Phase change materials;
Random access memory;
Resource management;
Microprocessors;
Phase change memory;
Memory management;
22.
Multi retention level STT-RAM cache designs with a dynamic refresh scheme
机译:
具有动态刷新方案的多保留级别STT-RAM缓存设计
作者:
Zhenyu Sun
;
Xiuyuan Bi
;
Hai Li
;
Weng-Fai Wong
;
Zhong-Liang Ong
;
Xiaochun Zhu
;
Wenqing Wu
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Switches;
Magnetic tunneling;
Random access memory;
Resistance;
Magnetization;
Torque;
Temperature measurement;
23.
A resistive TCAM accelerator for data-intensive computing
机译:
用于数据密集型计算的电阻式TCAM加速器
作者:
Qing Guo
;
Xiaochen Guo
;
Yuxin Bai
;
Engin İpek
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Random access memory;
Computer architecture;
Microprocessors;
Magnetic tunneling;
Resistors;
Bandwidth;
Phase change materials;
24.
A systematic methodology to develop resilient cache coherence protocols
机译:
开发弹性缓存一致性协议的系统方法
作者:
Konstantinos Aisopos
;
Li-Shiuan Peh
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Protocols;
Coherence;
Transient analysis;
Reliability;
Systematics;
Hardware;
Resilience;
25.
Dataflow execution of sequential imperative programs on multicore architectures
机译:
多核体系结构上顺序命令程序的数据流执行
作者:
Gagan Gupta
;
Gurindar S. Sohi
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Programming;
Computational modeling;
Multicore processing;
Parallel processing;
Object oriented modeling;
Program processors;
26.
A register-file approach for row buffer caches in die-stacked DRAMs
机译:
芯片堆叠DRAM中行缓冲区高速缓存的寄存器文件方法
作者:
Gabriel H. Loh
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Random access memory;
Registers;
Resource management;
Through-silicon vias;
Timing;
Built-in self-test;
Optimization;
27.
Parallel application memory scheduling
机译:
并行应用程序内存调度
作者:
Eiman Ebrahimi
;
Rustam Miftakhutdinov
;
Chris Fallin
;
Chang Joo Lee
;
José A. Joao
;
Onur Mutlu
;
Yale N. Patt
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Instruction sets;
Interference;
Runtime;
Synchronization;
Random access memory;
Estimation;
Scheduling algorithms;
28.
CRAM: Coded registers for amplified multiporting
机译:
CRAM:编码寄存器,用于放大多端口
作者:
Vignyan Reddy Kothinti Naresh
;
David J. Palframan
;
Mikko H. Lipasti
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Registers;
Ports (Computers);
Program processors;
Delays;
Microarchitecture;
Bandwidth;
Network coding;
29.
ATDetector: Improving the accuracy of a commercial data race detector by identifying address transfer
机译:
ATDetector:通过识别地址传输来提高商业数据竞赛检测器的准确性
作者:
Jiaqi Zhang
;
Weiwei Xiong
;
Yang Liu
;
Soyeon Park
;
Yuanyuan Zhou
;
Zhiqiang Ma
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Detectors;
Instruction sets;
Computer bugs;
Hardware;
Servers;
Dispatching;
Open source software;
30.
Reducing memory interference in multicore systems via application-aware memory channel partitioning
机译:
通过应用程序感知的内存通道分区减少多核系统中的内存干扰
作者:
Sai Prashanth Muralidhara
;
Lavanya Subramanian
;
Onur Mutlu
;
Mahmut Kandemir
;
Thomas Moscibroda
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Interference;
System performance;
Multicore processing;
Random access memory;
Control systems;
Bandwidth;
Partitioning algorithms;
31.
Accelerating microprocessor silicon validation by exposing ISA diversity
机译:
通过公开ISA多样性来加速微处理器芯片验证
作者:
Nikos Foutris
;
Dimitris Gizopoulos
;
Mihalis Psarakis
;
Xavier Vera
;
Antonio Gonzalez
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
silicon;
computer architecture;
instruction sets;
microprocessor chips;
program debugging;
program verification;
32.
Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication
机译:
迈向用于一对多和多对一通信的理想片上结构
作者:
Tushar Krishna
;
Li-Shiuan Peh
;
Bradford M. Beckmann
;
Steven K. Reinhardt
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Coherence;
System-on-chip;
Protocols;
Routing;
Runtime;
Delays;
Wires;
33.
Packet chaining: Efficient single-cycle allocation for on-chip networks
机译:
数据包链接:片上网络的有效单周期分配
作者:
George Michelogiannakis
;
Nan Jiang
;
Daniel Becker
;
William J. Dally
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Resource management;
Throughput;
Switches;
Iron;
Ports (Computers);
Electrical engineering;
Delays;
34.
Encore: Low-cost, fine-grained transient fault recovery
机译:
Encore:低成本,细粒度的瞬态故障恢复
作者:
Shuguang Feng
;
Shantanu Gupta
;
Amin Ansari
;
Scott A. Mahlke
;
David I. August
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Transient analysis;
Hardware;
Program processors;
Fault tolerance;
Fault tolerant systems;
Checkpointing;
35.
Formally enhanced runtime verification to ensure NoC functional correctness
机译:
正式增强了运行时验证,以确保NoC功能正确性
作者:
Ritesh Parikh
;
Valeria Bertacco
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Runtime;
Monitoring;
Computer bugs;
System recovery;
Hardware;
Silicon;
System-on-chip;
36.
CoreRacer: A practical memory race recorder for multicore x86 TSO processors
机译:
CoreRacer:适用于多核x86 TSO处理器的实用内存竞赛记录器
作者:
Gilles Pokam
;
Cristiano Pereira
;
Shiliang Hu
;
Ali-Reza Adl-Tabatabai
;
Justin Gottschlich
;
Jungwoo Ha
;
Youfeng Wu
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Program processors;
Coherence;
Hardware;
Multicore processing;
Clocks;
Registers;
Proposals;
37.
Manager-client pairing: A framework for implementing coherence hierarchies
机译:
经理-客户配对:实现一致性层次结构的框架
作者:
Jesse G. Beu
;
Michael C. Rosier
;
Thomas M. Conte
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Coherence;
Protocols;
Fractals;
Hardware;
Complexity theory;
Organizations;
Multicore processing;
38.
Residue cache: A low-energy low-area L2 cache architecture via compression and partial hits
机译:
残留缓存:通过压缩和部分命中的低能耗低区域L2缓存架构
作者:
Soontae Kim
;
Jesung Kim
;
Jongmin Lee
;
Seokin Hong
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Energy consumption;
Power demand;
Computer architecture;
Threshold voltage;
Transistors;
Cache memory;
TV;
39.
SHiP: Signature-based Hit Predictor for high performance caching
机译:
SHiP:基于签名的命中预测器,可实现高性能缓存
作者:
Carole-Jean Wu
;
Aamer Jaleel
;
Will Hasenplaugh
;
Margaret Martonosi
;
Simon C. Steely
;
Joel Emer
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Marine vehicles;
Proposals;
Radiation detectors;
Hardware;
History;
Servers;
Art;
40.
PACMan: Prefetch-Aware Cache Management for high performance caching
机译:
PACMan:用于高性能缓存的预取感知缓存管理
作者:
Carole-Jean Wu
;
Aamer Jaleel
;
Margaret Martonosi
;
Simon C. Steely
;
Joel Emer
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Prefetching;
Hardware;
Pollution;
Interference;
Servers;
Proposals;
Degradation;
41.
Efficiently enabling conventional block sizes for very large die-stacked DRAM caches
机译:
有效地启用常规块大小以用于非常大的裸片堆叠DRAM缓存
作者:
Gabriel H. Loh
;
Mark D. Hill
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Random access memory;
Multicore processing;
Program processors;
System-on-chip;
Arrays;
Bandwidth;
Compounds;
42.
A compile-time managed multi-level register file hierarchy
机译:
编译时管理的多级寄存器文件层次结构
作者:
Mark Gebhart
;
Stephen W. Keckler
;
William J. Dally
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Registers;
Instruction sets;
Graphics processing units;
Hardware;
Resource management;
43.
SIMD re-convergence at thread frontiers
机译:
线程边界处的SIMD重新收敛
作者:
Gregory Diamos
;
Benjamin Ashbaugh
;
Subramaniam Maiyuran
;
Andrew Kerr
;
Haicheng Wu
;
Sudhakar Yalamanchili
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Graphics processing units;
Hardware;
Computer architecture;
Computational modeling;
Radiation detectors;
Instruction sets;
44.
A data layout optimization framework for NUCA-based multicores
机译:
基于NUCA的多核的数据布局优化框架
作者:
Yuanrui Zhang
;
Wei Ding
;
Mahmut Kandemir
;
Jun Liu
;
Ohyoung Jang
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Layout;
Arrays;
Optimization;
Multicore processing;
System-on-chip;
Context;
45.
Implementing high availability memory with a duplication cache
机译:
使用复制缓存实现高可用性内存
作者:
Aggarwal Nidhi
;
Smith James E.
;
Saluja Kewal K.
;
Jouppi Norman P.
;
Ranganathan Parthasarathy
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
关键词:
component;
duplication cache;
high availability;
low cost availability;
memory duplication;
selective replication;
46.
Notary: Hardware techniques to enhance signatures
机译:
公证:增强签名的硬件技术
作者:
Yen Luke
;
Draper Stark C.
;
Hill Mark D.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
47.
Temporal instruction fetch streaming
机译:
时间指令获取流媒体
作者:
Ferdman Michael
;
Wenisch Thomas F.
;
Ailamaki Anastasia
;
Falsafi Babak
;
Moshovos Andreas
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
关键词:
caching;
fetch-directed;
instruction streaming;
prefetching;
48.
From SODA to scotch: The evolution of a wireless baseband processor
机译:
从苏打到苏格兰威斯特:无线基带处理器的演变
作者:
Woh Mark
;
Lin Yuan
;
Seo Sangwon
;
Mahlke Scott
;
Mudge Trevor
;
Chakrabarti Chaitali
;
Bruce Richard
;
Kershaw Danny
;
Reid Alastair
;
Wilder Mladen
;
Flautner Krisztian
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
49.
A distributed processor state management architecture for large-window processors
机译:
用于大型窗口处理器的分布式处理器状态管理架构
作者:
Gonzalez Isidro
;
Galluzzi Marco
;
Veidenbaum Alex
;
Ramirez Marco A.
;
Cristal Adrian
;
Valero Mateo
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
关键词:
Check-pointing;
component;
large-window;
misprediction recovery;
register file;
register renaming;
50.
A small cache of large ranges: Hardware methods for efficiently searching, storing, and updating big dataflow tags
机译:
大型范围的小缓存:有效搜索,存储和更新大数据流标记的硬件方法
作者:
Tiwari Mohit
;
Agrawal Banit
;
Mysore Shashidhar
;
Valamehr Jonathan
;
Sherwood Timothy
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
51.
Testudo: Heavyweight security analysis via statistical sampling
机译:
Testudo:通过统计抽样重量级安全分析
作者:
Greathouse Joseph L.
;
Wagner Ilya
;
Ramos David A.
;
Bhatnagar Gautam
;
Austin Todd
;
Bertacco Valeria
;
Pettie Seth
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
52.
Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency
机译:
缓存突发:消除死区段的新方法以及增加高速缓存效率
作者:
Liu Haiming
;
Ferdman Michael
;
Huh Jaehyuk
;
Burger Doug
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
53.
Shapeshifter: Dynamically changing pipeline width and speed to address process variations
机译:
Shapeshifter:动态地改变管道宽度和速度以解决过程变化
作者:
Chun Eric
;
Chishti Zeshan
;
Vijaykumar T. N.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
54.
NBTI tolerant microarchitecture design in the presence of process variation
机译:
NBTI耐受微体系结构在过程变化的存在下
作者:
Xin Fu
;
Tao Li
;
Fortes Jose
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
55.
CPR: Composable performance regression for scalable multiprocessor models
机译:
CPR:可扩展多处理器模型的可组合性能回归
作者:
Lee Benjamin C.
;
Collins Jamison
;
Wang Hong
;
Brooks David
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
56.
The StageNet fabric for constructing resilient multicore systems
机译:
用于构建弹性多核系统的齿龈面料
作者:
Gupta Shantanu
;
Feng Shuguang
;
Ansari Amin
;
Blome Jason
;
Mahlke Scott
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
57.
EVAL: Utilizing processors with variation-induced timing errors
机译:
评估:利用具有变化诱导的时序错误的处理器
作者:
Sarangi Smruti
;
Greskamp Brian
;
Tiwari Abhishek
;
Torrellas Josep
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
58.
Mini-rank: Adaptive DRAM architecture for improving memory power efficiency
机译:
迷你秩:适应性DRAM架构,用于提高内存功率效率
作者:
Zheng Hongzhong
;
Lin Jiang
;
Zhang Zhao
;
Gorbatov Eugene
;
David Howard
;
Zhu Zhichun
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
59.
A performance-correctness explicitly-decoupled architecture
机译:
性能正确明确解耦架构
作者:
Garg Alok
;
Huang Michael C.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
60.
Dependence-aware transactional memory for increased concurrency
机译:
依赖感知事务内存增加并发增加
作者:
Ramadan Hany E.
;
Rossbach Christopher J.
;
Witchel Emmett
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
61.
Evaluating the effects of cache redundancy on profit
机译:
评估缓存冗余对利润的影响
作者:
Das Abhishek
;
Ozisikyilmaz Berkin
;
Ozdemir Serkan
;
Memik Gokhan
;
Zambreno Joseph
;
Choudhary Alok
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
关键词:
Cache Architecture;
Device Variability;
Fault-tolerance;
Process Variations;
Profit and Revenue;
62.
Toward a multicore architecture for real-time ray-tracing
机译:
朝着用于实时射线跟踪的多核架构
作者:
Govindaraju Venkatraman
;
Djeu Peter
;
Sankaralingam Karthikeyan
;
Vernon Mary
;
Mark William R.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
63.
Reconfigurable energy efficient near threshold cache architectures
机译:
可重新配置的节能接近阈值缓存架构
作者:
Dreslinski Ronald G.
;
Chen Gregory K.
;
Mudge Trevor
;
Blaauw David
;
Sylvester Dennis
;
Flautner Krisztian
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
64.
Low-power, high-performance analog neural branch prediction
机译:
低功耗,高性能模拟神经分支预测
作者:
St. Amant Renee
;
Jimenez Daniel A.
;
Burger Doug
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
65.
SHARK: Architectural support for autonomic protection against stealth by rootkit exploits
机译:
鲨鱼:通过rootkit漏洞利用对隐形隐身自主保护的建筑支持
作者:
Vasisht Vikas R.
;
Lee Hsien-Hsin S.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
66.
Online design bug detection: RTL analysis, flexible mechanisms, and evaluation
机译:
在线设计错误检测:RTL分析,灵活的机制和评估
作者:
Constantinides Kypros
;
Mutlu Onur
;
Austin Todd
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
67.
Strategies for mapping dataflow blocks to distributed hardware
机译:
将数据流块映射到分布式硬件的策略
作者:
Robatmili Behnam
;
Coons Katherine E.
;
Burger Doug
;
McKinley Kathryn S.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
68.
Token flow control
机译:
令牌流量控制
作者:
Kumar Amit
;
Peh Li-Shiuan
;
Jha Niraj K.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
69.
Reducing the harmful effects of last-level cache polluters with an OS-level, software-only pollute buffer
机译:
减少与OS级别的软件污染缓冲区的最后一级缓存污染器的有害影响
作者:
Soares Livio
;
Tam David
;
Stumm Michael
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
70.
Coordinated management of multiple interacting resources in chip multiprocessors: A machine learning approach
机译:
芯片多处理器中多个交互资源的协调管理:机器学习方法
作者:
Bitirgen Ramazan
;
Ipek Engin
;
Martinez Jose F.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
71.
A novel cache architecture with enhanced performance and security
机译:
具有增强性能和安全性的新型缓存架构
作者:
Zhenghong Wang
;
Lee Ruby B.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
关键词:
cache;
computer architecture;
performance;
security;
side channel attacks;
72.
Prefetch-Aware DRAM Controllers
机译:
预取感人DRAM控制器
作者:
Lee Chang Joo
;
Mutlu Onur
;
Narasiman Veynu
;
Patt Yale N.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
73.
Efficient unicast and multicast support for CMPs
机译:
高效单播和CMP的组播支持
作者:
Rodrigo Samuel
;
Flich Jose
;
Duato Jose
;
Hummel Mark
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
74.
Token tenure: PATCHing token counting using directory-based cache coherence
机译:
令牌任期:使用基于目录的缓存连贯进行修补令牌计数
作者:
Raghavan Arun
;
Blundell Colin
;
Martin Milo M. K.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
75.
Adaptive data compression for high-performance low-power on-chip networks
机译:
适用于高性能低功耗片上网络的自适应数据压缩
作者:
Yuho Jin
;
Yum Ki Hwan
;
Kim Eun Jung
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
76.
Power to the people: Leveraging human physiological traits to control microprocessor frequency
机译:
对人民的权力:利用人类生理性状来控制微处理器频率
作者:
Shye Alex
;
Pan Yan
;
Scholbrock Ben
;
Miller J. Scott
;
Memik Gokhan
;
Dinda Peter A.
;
Dick Robert P.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
77.
Microarchitecture soft error vulnerability characterization and mitigation under 3D integration technology
机译:
微架构软错误漏洞脆弱性表征和3D集成技术下的缓解
作者:
Wangyuan Zhang
;
Tao Li
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
78.
Architectures and algorithms for millisecond-scale molecular dynamics simulations of proteins
机译:
巨级分子动力学模拟蛋白质的架构和算法
作者:
Shaw David E.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
79.
Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs
机译:
待处理缓存命中,数据预取和MSHRS的混合分析建模
作者:
Chen Xi E.
;
Aamodt Tor M.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
80.
Copy or Discard execution model for speculative parallelization on multicores
机译:
复制或丢弃多设备上猜测并行化的执行模型
作者:
Chen Tian
;
Min Feng
;
Nagarajan Vijay
;
Gupta Rajiv
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
关键词:
copy or discard execution model;
multicores;
speculative parallelization;
81.
Power reduction of CMP communication networks via RF-interconnects
机译:
通过RF互连的CMP通信网络的功率降低
作者:
Chang M-C. Frank
;
Cong Jason
;
Kaplan Adam
;
Liu Chunyue
;
Naik Mishali
;
Premkumar Jagannath
;
Reinman Glenn
;
Socher Eran
;
Tam Sai-Wang
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
82.
Facelift: Hiding and slowing down aging in multicores
机译:
整容:躲藏和减缓多重老龄路程
作者:
Tiwari Abhishek
;
Torrellas Josep
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
83.
Tradeoffs in designing accelerator architectures for visual computing
机译:
用于设计可视化计算的加速器架构的权衡
作者:
Mahesri Aqeel
;
Johnson Daniel
;
Crago Neal
;
Patel Sanjay J.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
84.
Verification of chip multiprocessor memory systems using a relaxed scoreboard
机译:
使用轻松记分牌验证芯片多处理器内存系统
作者:
Shacham Ofer
;
Wachs Megan
;
Solomatnikov Alex
;
Firoozshahian Amin
;
Richardson Stephen
;
Horowitz Mark
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
85.
Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence
机译:
虚拟树一致性:利用地区和网络中的组播树,以获得可扩展的缓存一致性
作者:
Enright Jerger Natalie D.
;
Peh Li-Shiuan
;
Lipasti Mikko H.
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2008年
86.
Identifying and predicting timing-critical instructions to boost timing speculation
机译:
识别和预测时序关键指令以提高时序猜测
作者:
Jing Xin
;
Russ Joseph
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Timing;
Error analysis;
Logic gates;
Random access memory;
Pipelines;
Hardware;
Optimization;
87.
Manager-client pairing: A framework for implementing coherence hierarchies
机译:
Manager-Client Fiting:实现Chercence层次结构的框架
作者:
Jesse G. Beu
;
Michael C. Rosier
;
Thomas M. Conte
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Coherence;
Protocols;
Fractals;
Hardware;
Complexity theory;
Organizations;
Multicore processing;
88.
SHiP: Signature-based Hit Predictor for high performance caching
机译:
船舶:基于签名的HIT预测器,用于高性能缓存
作者:
Carole-Jean Wu
;
Aamer Jaleel
;
Will Hasenplaugh
;
Margaret Martonosi
;
Simon C. Steely
;
Joel Emer
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Marine vehicles;
Proposals;
Radiation detectors;
Hardware;
History;
Servers;
Art;
89.
CRAM: Coded registers for amplified multiporting
机译:
CRAM:用于放大多端口的编码寄存器
作者:
Vignyan Reddy Kothinti Naresh
;
David J. Palframan
;
Mikko H. Lipasti
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Registers;
Ports (Computers);
Program processors;
Delays;
Microarchitecture;
Bandwidth;
Network coding;
90.
Residue cache: A low-energy low-area L2 cache architecture via compression and partial hits
机译:
残留缓存:通过压缩和部分命中的低能量低区域L2缓存架构
作者:
Soontae Kim
;
Jesung Kim
;
Jongmin Lee
;
Seokin Hong
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Energy consumption;
Power demand;
Computer architecture;
Threshold voltage;
Transistors;
Cache memory;
TV;
91.
Proactive instruction fetch
机译:
主动指令获取
作者:
Michael Ferdman
;
Cansu Kaynak
;
Babak Falsafi
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Prefetching;
Servers;
Microarchitecture;
Hardware;
History;
Filtering;
Correlation;
92.
Accelerating microprocessor silicon validation by exposing ISA diversity
机译:
通过暴露ISA多样性加速微处理器硅验证
作者:
Nikos Foutris
;
Dimitris Gizopoulos
;
Mihalis Psarakis
;
Xavier Vera
;
Antonio Gonzalez
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
silicon;
computer architecture;
instruction sets;
microprocessor chips;
program debugging;
program verification;
93.
Minimalist open-page: A DRAM page-mode scheduling policy for the many-core era
机译:
Minimalist Open-Page:许多核心时代的DRAM页面模式调度策略
作者:
Dimitris Kaseridis
;
Jeffrey Stuecheli
;
Lizy Kurian John
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Random access memory;
Delays;
Arrays;
Prefetching;
Complexity theory;
94.
Architectural support for secure virtualization under a vulnerable hypervisor
机译:
在易受攻击的虚拟机管理程序下对安全虚拟化的架构支持
作者:
Seongwook Jin
;
Jeongseob Ahn
;
Sanghoon Cha
;
Jaehyuk Huh
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Virtual machine monitors;
Hardware;
Virtual machining;
Virtualization;
Memory management;
Context;
Cloud computing;
95.
A register-file approach for row buffer caches in die-stacked DRAMs
机译:
DIA堆叠DRAM中行缓冲区缓存的寄存器文件方法
作者:
Gabriel H. Loh
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Random access memory;
Registers;
Resource management;
Through-silicon vias;
Timing;
Built-in self-test;
Optimization;
96.
SIMD re-convergence at thread frontiers
机译:
SIMD在螺纹前沿重新收敛
作者:
Gregory Diamos
;
Benjamin Ashbaugh
;
Subramaniam Maiyuran
;
Andrew Kerr
;
Haicheng Wu
;
Sudhakar Yalamanchili
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Graphics processing units;
Hardware;
Computer architecture;
Computational modeling;
Radiation detectors;
Instruction sets;
97.
Resilient microring resonator based photonic networks
机译:
基于弹性的微管谐振器的光子网络
作者:
Christopher J. Nitta
;
Matthew K. Farrens
;
Venkatesh Akella
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Optical resonators;
Optical fiber communication;
Ports (Computers);
Photonics;
Optical waveguides;
Reliability;
Resilience;
98.
Active management of timing guardband to save energy in POWER7
机译:
正时保护带的积极管理,以节省POWER7
作者:
Charles R. Lefurgy
;
Alan J. Drake
;
Michael S. Floyd
;
Malcolm S. Allen-Ware
;
Bishop Brock
;
Jose A. Tierno
;
John B. Carter
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Timing;
Microprocessors;
Voltage control;
Power supplies;
Voltage measurement;
Servers;
Clocks;
99.
The NoX router
机译:
nox路由器
作者:
Mitchell Hayenga
;
Mikko Lipasti
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Switches;
Ports (Computers);
Decoding;
Computer architecture;
Timing;
Logic gates;
Registers;
100.
A data layout optimization framework for NUCA-based multicores
机译:
基于NUCA的多设备的数据布局优化框架
作者:
Yuanrui Zhang
;
Wei Ding
;
Mahmut Kandemir
;
Jun Liu
;
Ohyoung Jang
会议名称:
《IEEE/ACM International Symposium on Microarchitecture》
|
2011年
关键词:
Layout;
Arrays;
Optimization;
Multicore processing;
System-on-chip;
Context;
意见反馈
回到顶部
回到首页