首页> 外文会议>IEEE/ACM International Symposium on Microarchitecture >SHiP: Signature-based Hit Predictor for high performance caching
【24h】

SHiP: Signature-based Hit Predictor for high performance caching

机译:船舶:基于签名的HIT预测器,用于高性能缓存

获取原文

摘要

The shared last-level caches in CMPs play an important role in improving application performance and reducing off-chip memory bandwidth requirements. In order to use LLCs more efficiently, recent research has shown that changing the re-reference prediction on cache insertions and cache hits can significantly improve cache performance. A fundamental challenge, however, is how to best predict the re-reference pattern of an incoming cache line. This paper shows that cache performance can be improved by correlating the re-reference behavior of a cache line with a unique signature. We investigate the use of memory region, program counter, and instruction sequence history based signatures. We also propose a novel Signature-based Hit Predictor (SHiP) to learn the re-reference behavior of cache lines belonging to each signature. Overall, we find that SHiP offers substantial improvements over the baseline LRU replacement and state-of-the-art replacement policy proposals. On average, SHiP improves sequential and multiprogrammed application performance by roughly 10% and 12% over LRU replacement, respectively. Compared to recent replacement policy proposals such as Seg-LRU and SDBP, SHiP nearly doubles the performance gains while requiring less hardware overhead.
机译:CMPS中共享的最后级别缓存在提高应用程序性能和减少片外存储器带宽要求方面发挥着重要作用。为了更有效地使用LLC,最近的研究表明,改变了缓存插入和缓存命中的再参考预测可以显着提高缓存性能。然而,基本挑战是如何最好地预测进入缓存行的重新参考模式。本文通过将高速缓存行的重新参考行为与唯一签名相关联,可以提高缓存性能。我们调查基于存储区域,程序计数器和指令序列历史的签名的使用。我们还提出了一种基于新的签名的HIT预测因子(船舶),以了解属于每个签名的高速缓存行的再参考行为。总的来说,我们发现船舶对基线LRU更换和最先进的更换政策提案提供了大量的改进。平均而言,船舶在LRU替代物中分别提高了序贯和多分程的应用性能,大约为10%和12%。与最近的替换政策提案相比,如SEG-LRU和SDBP,船舶几乎加倍性能提升,同时需要更少的硬件开销。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号