首页> 外文会议>IEEE/ACM International Symposium on Microarchitecture >CPR: Composable performance regression for scalable multiprocessor models
【24h】

CPR: Composable performance regression for scalable multiprocessor models

机译:CPR:可扩展多处理器模型的可组合性能回归

获取原文

摘要

Uniprocessor simulators track resource utilization cycle by cycle to estimate performance. Multiprocessor simulators, however, must account for synchronization events that increase the cost of every cycle simulated and shared resource contention that increases the total number of cycles simulated. These effects cause multiprocessor simulation times to scale superlinearly with the number of cores. Composable performance regression (CPR) fundamentally addresses these intractable multiprocessor simulation times, estimating multiprocessor performance with a combination of uniprocessor, contention, and penalty models. The uniprocessor model predicts baseline performance of each core while the contention models predict interfering accesses from other cores. Uniprocessor and contention model outputs are composed by a penalty model to produce the final multiprocessor performance estimate. Trained with a production quality simulator, CPR is accurate with median errors of 6.63, 4.83 percent for dual-, quad-core multiprocessors. Furthermore, composable regression is scalable, requiring 0.33× the simulations required by prior regression strategies.
机译:Uniprocessor模拟器通过循环跟踪资源利用周期以估算性能。但是,多处理器模拟器必须考虑同步事件,这些事件增加模拟和共享资源争用的每个周期的成本,这增加了模拟的循环总数。这些效果导致多处理器模拟时间与核心的数量超级缩放。可组合性能回归(CPR)从根本上解决了这些难以处理的多处理器仿真时间,估计多处理器性能,与单处理器,争用和惩罚模型的组合。单处理器模型预测每个核心的基线性能,而争用模型预测来自其他核的干扰访问。 Uniprocessor和争用模型输出由惩罚模型组成,以产生最终的多处理器性能估计。通过生产质量模拟器培训,CPR准确,中位数误差为6.63,4.83%,用于双核心多处理器。此外,可协调的回归是可扩展的,需要先前回归策略所需的仿真0.33倍。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
获取原文

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号