掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
22nd Annual BACUS Symposium on Photomask Technology
22nd Annual BACUS Symposium on Photomask Technology
召开年:
2002
召开地:
Monterey, CA(US);Monterey, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
2002 update on the SEMI Standards Mask Qualification Terminology Task Force
机译:
SEMI标准面罩合格术语工作组的2002年更新
作者:
Rik Jonckheere
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
reticle metrology;
reticle quality;
pattern fidelity;
printability;
resolution enhancement;
2.
90nm Node CD Uniformity Improvement Using a Controlled Gradient Temperature CAR PEB Process
机译:
使用可控梯度温度CAR PEB工艺改善90nm节点CD均匀性
作者:
Dong-Il Park
;
Soon-Kyu Seo
;
Eui-Sang Park
;
Jong-Hwa Lee
;
Woo-Gun Jeong
;
Jin-Min Kim
;
Sang-Soo Choi
;
Soo-Hong Jeong
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
chemically amplified resist;
fogging effect;
post exposure baking;
3.
A Comparative Evaluation of Mask Production CAR Development Processes with Stepwise Defect Inspection
机译:
面膜生产汽车开发工艺与缺陷逐步检验的比较评估
作者:
Woo-Gun Jeong
;
Jung-Kwan Lee
;
Dong-Il Park
;
Eui-Sang Park
;
Jong-Hwa Lee
;
Sun-Kyu Seo
;
Dong-Heok Lee
;
Jin-Min Kim
;
Sang-Soo Choi
;
Soo-Hong Jeong
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
CAR;
defects;
dry etch;
EBM 3500B;
SEM;
SLF77 TeraStar;
ASP5000;
fan/binary spray;
puddle develop;
4.
A Comparison of DUV Wafer and Reticle Lithography - What is the Resolution Limit?
机译:
DUV晶圆和掩模版光刻的比较-分辨率极限是多少?
作者:
Chris Spence
;
Cyrus Tabery
;
Rusty Cantrell
;
Les Dahl
;
Peter Buck
;
Bill Wilkinson
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
5.
A Comparison of Endpoint Methods in Advanced Photomask Etch Applications
机译:
先进光掩模蚀刻应用中端点方法的比较
作者:
Dave Johnson
;
Jason Plumhoff
;
Jong Shin
;
Emmanuel Rausa
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
6.
A GDS-based Mask Data Preparation Flow - Data Volume Containment by Hierarchical Data Processing
机译:
基于GDS的遮罩数据准备流程-通过分层数据处理控制数据量
作者:
Steffen Schulze
;
Pat Lacour
;
Peter Buck
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
7.
Advanced Write Tool Effects on 100nm Node OPC
机译:
高级写入工具对100nm节点OPC的影响
作者:
Peter Buck
;
Kent Green
;
Kent Ibsen
;
Kent Nakagawa
;
Dongsung Hong
;
Prakash Krishnan
;
Dianna Coburn
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photomask;
mask;
modeling;
simulation;
OPC;
ALTA-4000;
JBX-9000;
e-beam lithography;
optical mask lithography;
8.
Aerial Image Measurement System for 157 nm Lithography
机译:
157 nm光刻的航空影像测量系统
作者:
Klaus Eisner
;
Peter Kuschnerus
;
Jan-Peter Urbach
;
Christof M. Schilz
;
Thomas Engel
;
Axel Zibold
;
Takashi Yasui
;
Iwao Higashikawa
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
AIMS;
157 nm lithography;
photo masks;
process window;
9.
Alternating Phase Shift Mask Inspection Through the Use of Phase Contrast Enhancement Techniques
机译:
通过使用相衬增强技术来交替进行相移掩模检查
作者:
Larry Zurbrick
;
Maciej Rudzinski
;
Stan Stokowski
;
Long He
;
Kurt Kimmel
;
Nishrin Kashwala
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
alternating phase shift masks;
defect inspection;
10.
Alternatives to Alternating Phase Shift Masks for 65nm
机译:
替代65nm的相移掩模的替代方法
作者:
J. Andres Torres
;
Wilhelm Maurer
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
RET;
65nm technology;
chromeless phase shift masks;
dipole lithographyl mask specifications;
11.
Analysis of the impact of reticle CD variations on the available process windows for a 100nm CMOS process
机译:
分析标线片CD变化对100nm CMOS工艺可用工艺窗口的影响
作者:
Staf Verhaegen
;
Geert Vandenberghe
;
Rik M. Jonckheere
;
Kurt R. Ronse
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
mask specifications;
flexible mask specifications;
OPC;
writing grid;
process window analysis;
193nm lithography;
12.
Application of Cr-less mask technology for sub-100 nm gate with single exposure
机译:
无铬掩模技术在单曝光以下100 nm栅极中的应用
作者:
Sung-Hyuck Kim
;
Dong-Hoon Chung
;
Ji-Soong Park
;
In-Kyun shin
;
Seong-woon Choi
;
Jung- Min Sohn
;
Jae-Han Lee
;
Hye-Soo Shin
;
Fung Chen
;
Douglas Van Den Broeke
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
RET;
CPL;
OPC;
PEPSM;
KrF;
OAI;
13.
Automated Defect Severity Analysis for Binary and PSM Mask Defects
机译:
二进制和PSM掩模缺陷的自动化缺陷严重度分析
作者:
Lynn Cai
;
Jiunn-Hung Chen
;
Lin-Hsin Tu
;
Brian Chu
;
Noah Chen
;
T.Y. Fang
;
Webb Shieh
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
14.
Automated flow for site definition and CD measurement with a SEM for use in mask production
机译:
使用SEM在掩模生产中自动进行位置定义和CD测量的流程
作者:
Christian Rotsch
;
Menning Haffner
;
Christian Ruebekohl
;
Bettine Buechner
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photo mask;
automation;
CD-measurement;
CD-SEM;
design data flow;
uniformity;
15.
Back to Square 9, A Demonstration of 9' Reticle Capability
机译:
返回第9广场,展示9英寸标线功能
作者:
Kevin D. Cummings
;
Ludger Schneider-Stoermann
;
Ute Buttgereit
;
Mathias Irmscher
;
Dietmar Mueller
;
Peter Hudek
;
Dirk Beyer
;
Bernd Brendel
;
John Whittey
;
Ben Eynon
;
Jason Harsch
;
Chris Constantine
;
Kirk Miller
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
9 inch reticle;
9 inch mask;
9 inch format;
reticle capability;
16.
Calibration and long-term stability evaluation of photo mask CD-SEM utilizing JQA standard
机译:
使用JQA标准的光掩模CD-SEM的校准和长期稳定性评估
作者:
Izumi Santo
;
Masashi Ataka
;
Katsuyuki Takahashi
;
Norimichi Anazawa
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
CD-SEM;
standard scale;
calibration;
CD metrology;
line width;
17.
Characteristics of Selective MoSiON Etching in a Chlorine Plasma
机译:
氯等离子体中选择性MoSiON刻蚀的特征
作者:
Byung-Soo Chang
;
Dong-Soo Min
;
Hyuk-Joo Kwon
;
Boo-Yeon Choi
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
MoSiON;
chlorine;
selectivity;
polymer;
18.
Characterization of Repairs to KrF 300mm Wafer Printability for 0.13um Design Rule with Attenuated Phase Shifting Mask
机译:
使用衰减相移掩模对0.13um设计规则对KrF 300mm晶圆可印刷性的维修特性
作者:
William Chou
;
Tsung Chen
;
Will Tseng
;
Peter Huang
;
C.C. Tseng
;
Mars Chung
;
Dick Wang
;
Norman Huang
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
attenuated phase shifting mask;
reticle;
defect;
repair;
19.
Compensation of long-range process effects on photomasks by design data correction
机译:
通过设计数据校正来补偿对光掩模的远程工艺影响
作者:
Jens Schneider
;
Martin Bloecker
;
Gerd Ballhorn
;
Nikola Belic
;
Hans Eisenmann
;
Danny Keogan
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
mask process correction;
dry etch loading;
pattern density;
CATS;
20.
Comprehensive Approach to Determining the Specification for Mask Mean to Target
机译:
确定目标掩膜均值规范的综合方法
作者:
Sung-Woo Lee
;
In-Sung Kim
;
Jung-Hyeon Lee
;
Han-Ku Cho
;
Woo-Sung Han
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
MTT;
uniformity;
exposure latitude;
MEEF;
Arf;
21.
Cr photomask etch performance and its modeling
机译:
Cr光掩模蚀刻性能及其建模
作者:
Banqiu Wu
;
David Chan
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
Cr;
etch;
plasma;
dry etch;
modeling;
22.
Development of New Stream Format with GDSII Upper Compatibility and High-Compression Rate
机译:
具有GDSII较高兼容性和高压缩率的新流格式的开发
作者:
Koki Kuriyama
;
Junji Hirumi
;
Nobuyuki Yoshioka
;
Yutaka Hojo
;
Yuichi Kawase
;
Shigehiro Hara
;
Morihisa Hoga
;
Satoshi Watanabe
;
Masaru Inoue
;
Hidemichi Kawase
;
Tomoko Kamimoto
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
23.
Electrical Dimension Characterisation of Binary and Alternating Aperture Phase-Shifting Masks
机译:
二元和交替孔径相移掩模的电尺寸表征
作者:
Martin McCallum
;
Stewart Smith
;
Alan Lissimore
;
Anthony J.Walton
;
J.Tom M. Stevenson
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
24.
Electron-beam Induced Processes and their Applicability to Mask Repair
机译:
电子束诱导过程及其在面膜修复中的适用性
作者:
Volker Boegli
;
Hans W.P. Koops
;
Michael Budach
;
Klaus Edinger
;
Ottmar Hoinkis
;
Bemd Weyrauch
;
Rainer Becker
;
Rudolf Schmidt
;
Alexander Kaya
;
Andreas Reinhardt
;
Stephan Braeuer
;
Heinz Honold
;
Johannes Bihr
;
Jens Greiser
;
Michael Eisenmann
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
25.
Enforcement of Mask Rule Compliance in Model-Based OPC'ed Layouts during Data Preparation
机译:
在数据准备期间,在基于模型的OPC布局中实施掩码规则合规性
作者:
Dirk Meyer
;
Radovan Vuletic
;
Alexander Seidl
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
design for manufacturability;
model-based OPC;
mask rule check;
mixed integer programming;
26.
Enhancement of KRS-XE for 50 keV Advanced Mask Making Applications
机译:
用于50 keV高级掩模制造应用的KRS-XE的增强
作者:
Karen Petrillo
;
David Medeiros
;
Jim Bucchignano
;
Marie Angelopoulos
;
Dario Goldfarb
;
Wu-Song Huang
;
Wayne Moreau
;
Robert Lang
;
Chester Huang
;
Christina Deverich
;
Tom Cardinali
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
chemically amplified resist;
photomask;
e-beam lithography;
27.
EUVL Square Mask Patterning with TaN Absorber
机译:
使用TaN吸收剂的EUVL方形掩模图案
作者:
Pei-Yang Yan
;
Andy Ma
;
Yi-Chiau Huang
;
Brigitte Stoehr
;
Juan Valdivia
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
EUVL mask;
EUV lithography;
TaN absorber;
TaN etch;
28.
Evaluation of the Capability of a Multibeam Confocal Inspection System for Inspection of EUVL Mask Blanks
机译:
评估EUVL面罩毛坯的多光束共聚焦检查系统的能力评估
作者:
Alan Stivers
;
Ted Liang
;
Michael Penn
;
Barry Lieberman
;
Gil Shelden
;
Jim Folta
;
Cindy Larson
;
Paul Mirkarimi
;
Chris Walton
;
Eric Gullikson
;
Moonsuk Yi
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
29.
Extended Defect Printability Study for 100nm Design Rule using 193nm Lithography
机译:
使用193nm光刻技术对100nm设计规则进行扩展缺陷可印刷性研究
作者:
Vicky Philipsen
;
Rik Jonckheere
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
defect printability;
193nm lithography;
100nm lines;
binary reticle;
high NA;
30.
Flexible mask specifications
机译:
口罩规格灵活
作者:
Shigeki Nojima
;
Shoji Mimotogi
;
Masamitsu Itoh
;
Osamu Ikenaga
;
Shigeru Hasebe
;
Kohji Hashimoto
;
Soichi Inoue
;
Mineo Goto
;
Ichiro Mori
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
mask specifications;
lithography simulation;
hot spot patterns;
31.
Full Phase-Shifting Methodology for 65nm Node Lithography
机译:
用于65nm节点光刻的完整相移方法
作者:
Christophe Pierrat
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
phase-shifting mask;
double exposure;
phase conflict;
data conversion;
PSM;
OPC;
RET;
32.
Higher Anisotropy and Improved Surface Conditions for 90nm Node MoSiON ICP Dry Etch
机译:
90nm节点MoSiON ICP干法刻蚀的更高各向异性和改善的表面条件
作者:
Dong-Soo Min
;
Byung-Soo Chang
;
Hyuk-Joo Kwon
;
Boo-Yeon Choi
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
dry etch;
PSM;
MoSiON;
CF_4 anisotropy;
33.
High-performance 6-inch EUV mask blanks produced under real production conditions by ion-beam sputter deposition
机译:
在实际生产条件下通过离子束溅射沉积生产的高性能6英寸EUV掩模坯料
作者:
Hans Becker
;
Frank Sobel
;
Lutz Aschke
;
Markus Renno
;
Juergen Krieger
;
Ute Buttgereit
;
Guenter Hess
;
Frank Lenzen
;
Konrad Knapp
;
Sergey Yulin
;
Torsten Feigl
;
Thomas Kuhlmann
;
Norbert Kaiser
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
NGL;
EUV;
IBD;
Mo/Si;
multilayer mirrors;
defect inspection;
34.
High-Resolution Photomask Phase Measurement Tool
机译:
高分辨率光掩模相位测量工具
作者:
A. J. Merriam
;
J. J. Jacob
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
actinic;
phase shift;
photomask;
phase shift mask;
metrology;
EAPSM;
AAPSM;
35.
Impact of EUV Mask Quality on Optical Inspection Sensitivity
机译:
EUV掩模质量对光学检测灵敏度的影响
作者:
Donald W. Pettibone
;
Aditya Dayal
;
Stan Stokowski
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
EUV;
mask;
inspection;
defects;
36.
Improvement of NLD mask dry etching system for 100nm node technology
机译:
用于100nm节点技术的NLD掩模干法刻蚀系统的改进
作者:
Yoshiyuki Tanaka
;
Nobuyuki Yoshioka
;
Noriyuki Harashima
;
Takaei Sasaki
;
Kiyoshi Kuwahara
;
Toshio Hayashi
;
Mutsumi Hara
;
Yasushi Ohkubo
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
NLD plasma;
mask dry etching;
CD uniformity;
loading effect;
37.
Improving Feature Size Linearity for Alternating Phase Shift Mask Applications Utilizing a Next Generation ICP Source
机译:
利用下一代ICP源为交替相移掩模应用改善特征尺寸线性度
作者:
J. Shin
;
C. Constantine
;
J. Plumhoff
;
E. Rausa
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
38.
Inspecting Alternating Phase Shift Masks by Matching Stepper Conditions
机译:
通过匹配步进条件来检查相移掩模
作者:
Anja Rosenbusch
;
Shirley Hemar
;
Boaz Kenan
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
aerial-image based mask inspection;
AAPSM;
OPC;
193nm technology;
at-wavelength inspection;
39.
Integrating CD and Lithographic Process Window analysis with Mask Data Preparation for Subwavelength ICs
机译:
将CD和光刻工艺窗口分析与亚波长IC的掩模数据准备集成在一起
作者:
Devendra Joshi
;
Danny Keogan
;
James K. Falbo
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
40.
Integration of Anti-reflection Coatings on EUV Absorber Stacks
机译:
在EUV吸收器烟囱上集成减反射涂层
作者:
J.R. Wasson
;
S-I. Han
;
N.V. Edwards
;
E. Weisbrod
;
W.J. Dauksher
;
P.J.S. Mangat
;
D. Pettibone
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
EUV Lithography;
EUV Reticles;
inspection;
anti-reflective coatings;
41.
Investigation of Nanomachining as a Technique for Geometry Reconstruction
机译:
纳米加工作为几何重构技术的研究
作者:
David Brinkley
;
Ron Bozak
;
Bin Chiu
;
Chanh Ly
;
Vikram Tolani
;
Roy White
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
42.
Line Edge Roughness Comparison Between Wet and Dry Etched Reticles
机译:
湿刻线与干刻线的线边缘粗糙度比较
作者:
Kunal N. Taravade
;
Robert Muller
;
Susan Erichsrud
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
line edge roughness;
wet etch;
dry etch;
reticles;
43.
Low-defect EUVL multilayers on standard format mask blanks
机译:
标准格式口罩毛坯上的低缺陷EUVL多层
作者:
James A. Folta
;
Patrick A. Kearney
;
Cindy C. Larson
;
Michael K. Crosley
;
Emily Fisch
;
Kenneth C. Racette
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
extreme ultraviolet lithography;
EUVL;
next generation lithography;
NGL;
mask;
blank;
multilayer;
defect;
44.
Manufacturability evaluation of model-based OPC masks
机译:
基于模型的OPC掩模的可制造性评估
作者:
Sung-Hoon Jang
;
Sonny Y. Zinn
;
Won-Tai Ki
;
Ji-Hyun Choi
;
Chan-Uk Jeon
;
Seong-Woon Choi
;
Hee-Sun Yoon
;
Jung-Min Sohn
;
Yong-Ho Oh
;
Jai-Cheol Lee
;
Sungwoo Lim
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
optical proximity correction;
model-based OPC;
45.
MARS2: An Advanced Femtosecond Laser Mask Repair Tool
机译:
MARS2:先进的飞秒激光口罩修复工具
作者:
Alfred Wagner
;
Richard Haight
;
Peter Longo
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
46.
Mask Error Enhancement-Factor (MEEF) metrology using automated scripts in CATS
机译:
使用CATS中的自动脚本进行掩膜错误增强因子(MEEF)度量
作者:
P.J.M. van Adrichem
;
F.A.J.M. Driessen
;
K. van Hasselt
;
H.-J. Brueck
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
MEEF;
metrology automation;
mask qualification;
mask specification;
new technology nodes;
47.
Mask Patterning Using Chemically Amplified Resists and the Novel STEAG HamaTech Blank Coater ASR5000
机译:
使用化学放大的抗蚀剂和新型STEAG HamaTech空白涂布机ASR5000进行掩模图案化
作者:
Corinna Koepernik
;
Dirk Beyer
;
Peter Dress
;
Thomas Hoffmann
;
Peter Hudek
;
Mathias Irmscher
;
Christian Krauss
;
Bernd Leibold
;
Dietmar Mueller
;
Christian Reuter
;
Reinhard Springer
;
Jakob Szekeresch
;
Peter Voehringer
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
chemically amplified resist;
E-beam lithography;
resist coating;
resist processing;
mask making process;
48.
Modified Shape From Shading Approach to SEM based Photoresist CD Metrology
机译:
从遮光方法到基于SEM的光刻胶CD计量学的改进形状
作者:
Parvez Ahammad
;
Amar Mukherjee
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photo-resist;
3D shape reconstruction;
semiconductor metrology;
critical dimension metrology;
CD-SEM;
shape from shading;
49.
Negative Chemically Amplified Resist (nCAR) for DRAM Mask Fabrications
机译:
用于DRAM掩模制造的负化学增强抗蚀剂(nCAR)
作者:
Martin Tschinkl
;
Christian Buergel
;
Uwe A. Griesinger
;
Barbara Jeansannetas
;
Armelle B. E. Vix
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photo mask;
blank;
reticle;
negative CAR (chemically amplified resist);
process latitude;
CD uniformity;
cleaning;
50.
New NIST Photomask Linewidth Standard
机译:
新的NIST光掩模线宽标准
作者:
James Potzick
;
J. Marc Pedulla
;
Mike Stocker
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
metrology;
linewidth;
photomask;
traceability;
standard;
51.
Novel Resist Development System for Photomasks
机译:
新型光阻抗蚀剂开发系统
作者:
Masamitsu Itoh
;
Hideaki Sakurai
;
Yukihiko Esaki
;
Kotaro Ooishi
;
Kazuo Sakamoto
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
developer;
development;
resist;
loading effect;
proximity gap;
suction;
PGSD;
rinse;
scan;
52.
Optimizing etch uniformity for alternating aperture phase shift masks on Etec Systems' Tetra~(TM) photomask etch system
机译:
为Etec Systems的Tetra〜TM光掩模蚀刻系统上的交替孔径相移掩模优化蚀刻均匀性
作者:
Nabila L. Waheed
;
Cynthia B. Brooks
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
alternating aperture phase shift masks;
AAPSM;
phase shift;
glass etch;
53.
Pellicle Life-Testing for High Exposure Dose Applications
机译:
高暴露剂量应用的薄膜寿命测试
作者:
Dan Schurz
;
Warren W. Flack
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
pellicle durability;
high-energy exposure;
thick photoresists;
pellicle life-test;
broadband exposure;
54.
Performance of Proximity Gap Suction Development (PGSD)
机译:
邻近间隙抽吸开发(PGSD)的性能
作者:
Hideaki Sakurai
;
Masamitsu Itoh
;
Yukihiko Esaki
;
Kotaro Ooishi
;
Kazuo Sakamoto
;
Mika Nakao
;
Toshiharu Nishimura
;
Hiroyuki Miyashita
;
Naoya Hayashi
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
proximity;
gap;
suction;
development;
developer;
dirty developer;
loading effect;
resist load;
PGSD;
55.
Performance of repaired defects and attPSM in EUV multilayer masks
机译:
EUV多层掩模中已修复缺陷和atPSPSM的性能
作者:
Yunfei Deng
;
Bruno La Fontaine
;
Andrew R. Neureuther
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
EUV lithography;
non-planar multilayer;
buried defects;
defect imaging;
defect repair;
topography removal;
topography compaction;
attenuated phase-shifting masks;
56.
Phase defect repair for the chromeless phase lithography (CPL) mask
机译:
无铬相位光刻(CPL)掩模的相缺陷修复
作者:
Steven Fan
;
Michael Hsu
;
Alex Tseng
;
J. Fung Chen
;
Doug Van Den Broeke
;
Henrry Lei
;
Stephen Hsu
;
Xuelong Shi
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
phase shifting mask;
PSM;
CPL;
AFM;
SEM;
FIB;
GAE;
57.
Photomask Dimensional Metrology in the SEM: Has Anything Really Changed?
机译:
SEM中的光掩模尺寸计量:真的有什么变化吗?
作者:
Michael T. Postek
;
Andras E. Vladar
;
Marylyn Hoy Bennett
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
metrology;
SEM;
scanning electron microscope;
charging;
critical dimension;
photomask;
58.
PMJ 2002 Panel Discussion Review, 'Lithography Strategy from 90- to 65-nm Nodes: ArF, F_2 or EPL?'
机译:
PMJ 2002小组讨论评论,“从90纳米到65纳米节点的光刻技术:ArF,F_2或EPL?”
作者:
Hiroyoshi Tanabe
;
Yoshinori Nagaoka
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
lithography strategy;
ArF lithography;
F_2 lithography;
EPL;
phase shift mask;
59.
Polarization contact: mask engineering
机译:
极化接触:掩模工程
作者:
Michael Lam
;
Andy Neureuther
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
polarization;
bars;
gaps;
mask tolerances;
lithography;
high NA effects;
resist coupling;
60.
Preserving EAPSM Phase and Transmission in the Clean Process
机译:
在清洁过程中保持EAPSM阶段和传输
作者:
Se-Jong Choi
;
Si-Yeul Yoon
;
Yong-Dae Kim
;
Hak- Weon Lee
;
Dae-Hong Kim
;
Si-Woo Lee
;
Dong-Heok Lee
;
Jin-Min Kim
;
Sang-Soo Choi
;
Soo Hong Jeong
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
megasonic cleaning;
phase and transmission;
embedded-attenuated phase shifting mask;
particle;
61.
PRIMADONNA: A System for Automated Defect Disposition of Production Masks Using Wafer Lithography Simulation
机译:
PRIMADONNA:使用晶圆光刻技术自动对生产掩模进行缺陷处理的系统
作者:
Dan Bald
;
Saghir Munir
;
Barry Lieberman
;
William H. Howard
;
Chris A. Mack
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
62.
Process bias control with thin Cr film blanks for 90nm-node reticle fabrication
机译:
用于90nm节点掩模版制造的薄Cr膜坯料的工艺偏置控制
作者:
Yukihiro Sato
;
Hitoshi Handa
;
Yasuyuki Kushida
;
Satoru Asai
;
Hiroshi Maruyama
;
Yutaka Miyahara
;
Minoru Naito
;
Ryugo Hikichi
;
Yoji Kawasaki
;
Hiroyuki Miyashita
;
Shigeru Noguchi
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
thin Cr film blanks;
etching bias;
dry etching;
ArF lithography;
63.
Process monitoring of etched fused silica phase shift reticles
机译:
蚀刻熔融石英相移掩模版的过程监控
作者:
Cynthia B. Brooks
;
Melisa J. Buie
;
Nabila L. Waheed
;
Patrick M. Martin
;
Phillip Walsh
;
Glenn Evans
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
alternating aperture phase shift masks;
trench depth measurement;
broadband spectrophotometry;
lithography;
64.
Raster Shaped Beam Pattern Generation for 70 nm Photomask Production
机译:
用于70 nm光掩模生产的光栅形光束图案生成
作者:
Tom Newman
;
Ira Finklestein
;
Huei-Mei Kao
;
Sriram Krishnaswami
;
Darryn Long
;
Richard Lozes
;
Henry Pearce-Percy
;
Al Sagle
;
Jeff Varner
;
Stacey Winter
;
Mark Gesley
;
Frank Abboud
会议名称:
《》
|
2002年
关键词:
MEBES;
raster shaped beam;
electron beam lithography;
photomask;
hierarchical data;
65.
Recovery of Mo/Si multilayers coated LTEM substrate
机译:
Mo / Si多层涂层LTEM基材的回收
作者:
Pawitter J. S. Mangat
;
A. Alec Talin
;
A. Hooper
;
D. Convey
;
S-I. Han
;
J. Wasson
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
EUV lithography;
low thermal expansion material;
EUV blanks;
EUV masks;
Mo/Si multilayers;
66.
Reliable Sub-Nanometer Repeatability for CD Metrology in a Reticle Production Environment
机译:
光罩生产环境中CD计量的可靠亚纳米级可重复性
作者:
Andrew C. Hourd
;
Anthony Grimshaw
;
Gerd Scheming
;
Christian Gittinger
;
Stefan Doebereiner
;
Frank Hillmann
;
Hans-Juergen Brueck
;
Shiuh-Bin Chen
;
Parkson Chen
;
Rik Jonckheere
;
Vicky Philipsen
;
Hans Hartmann
;
Volodymyr Ordynskyy
;
Kai Peter
;
Thomas Schaetz
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
CD metrology;
DUV imaging;
90nm node;
193nm EAPSM;
67.
Resolution extensions in the Sigma7000 imaging pattern generator
机译:
Sigma7000成像图案发生器中的分辨率扩展
作者:
Tor Sandstrom
;
Niklas Eriksson
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
lithography;
PG;
mask;
reticle writer;
mask writer;
stepper;
scanner;
partially coherent image;
serifs;
LPC;
OPC;
proximity correction;
68.
Reticle Defect Management Solutions for a Wafer Fab
机译:
晶圆厂的光罩缺陷管理解决方案
作者:
Robert C. Muller
;
Glen W. Scheid
;
Neal Callan
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
reticle defect;
defect classification;
die to die inspection;
defect disposition;
reticle qualification;
69.
Reticle defect printability: their impact on yield and feedback to suppliers
机译:
标线缺陷的可印刷性:它们对产量和对供应商的反馈的影响
作者:
Robert Vinje
;
Arthur D. Klaum
;
David Chmielewski
;
Matthew J. Lamantia
;
Dawn M. Woolery
;
Dianna L. Coburn
;
Colleen P. Weins
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photolithography;
reticles;
defects;
printability;
yield correlation;
positional correlation;
feedback;
70.
Sol-gel fabrication of high quality photomask substrates
机译:
溶胶-凝胶法制备高质量的光掩模基材
作者:
Rahul Ganguli
;
D. Laurence Meixner
;
Steven G. Colbern
;
Matt S. Gleason
;
Douglas E. Meyers
;
S. Ray Chaudhuri
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photomask substrates;
fused silica;
sol-gel;
157 nm processing;
71.
STEAG HamaTech Resist Coater ASR5000 - tool concept and process results -
机译:
STEAG HamaTech Resist Coater ASR5000-工具的概念和工艺结果-
作者:
C. Krauss
;
U. Dietze
;
F. Xu
;
C. Koepernik
;
P. Dress
;
P. Voehringer
;
M. Irmscher
;
J. Szekeresch
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
photoresist;
coating;
capillary apply;
photomask;
reticle;
post coat bake;
IP3600;
ZEP7000;
CAR;
coat-on-demand;
72.
Study of the Role of Cl_2, O_2, and He in the Chrome Etch Process with Optical Emission Spectroscopy
机译:
用光发射光谱研究Cl_2,O_2和He在铬蚀刻过程中的作用
作者:
Rex Anderson
;
Guenther Ruhl
;
Nicole Sandlin
;
Melisa Buie
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
chrome etch;
optical emission spectroscopy;
OES;
endpoint detection;
plasma diagnostics;
terra;
etec;
applied materials;
Cr;
73.
The Anatomy of a Universal Data Model
机译:
通用数据模型的剖析
作者:
Thomas J. Grebinski
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
universal data model;
OASIS;
SEMI;
74.
The Automated Management of Photomask Inspection
机译:
光掩模检查的自动化管理
作者:
J. Gordon Hughes
;
David Muir
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
automated photomask inspection;
do not inspect region;
DNIR;
inspection plan files;
75.
The influence of the baking process for chemically amplified resist on CD performance
机译:
化学放大抗蚀剂的烘烤工艺对CD性能的影响
作者:
Shiho Sasaki
;
Takeshi Ohfuji
;
Masaaki Kurihara
;
Hiroyuki Inomata
;
Curt Jackson
;
Yoshio Murata
;
Daisuke Totsukawa
;
Naoko Tsugama
;
Naoki Kitano
;
Naoya Hayashi
;
David H. Hwang
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
CAR;
PB;
PEB;
CD uniformity;
lithography;
mask;
bake;
temperature;
airflow;
76.
Tuning MEEF for CD control at 65nm node based on Chromeless Phase Lithography (CPL~(TM))
机译:
基于无铬相位平版印刷术(CPL〜(TM))调整用于65nm节点CD控制的MEEF
作者:
Doug Van Den Broeke
;
Tom Laidig
;
Kurt E. Wampler
;
Stephen Hsu
;
Xuelong Shi
;
Michael Hsu
;
Paul Burchard
;
J. Fung Chen
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
chromeless phase lithography;
high transmission attenuated PSM;
CPL;
chromeless mask;
PSM;
mask bias factor;
mask error enhancement factor;
mask error factor;
MBF;
MEEF;
MEf;
77.
A Mask Industry Assessment: 2002
机译:
口罩行业评估:2002年
作者:
Kurt R. Kimmel
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
mask industry;
photomask;
industry;
mask yield;
photomask yield;
mask quality;
photomask quality;
78.
Porous silica frame for deep UV lithography
机译:
多孔二氧化硅镜架,用于深紫外光刻
作者:
D. Laurence Meixner
;
Rahul Ganguli
;
Troy Robinson
;
De-Yin Jeng
;
Mark Morris
;
S. Ray Chaudhuri
;
Brian J. Grenon
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
sol-gel;
photomask frame;
pellicle;
fused silica;
porous silica;
157-nm processing;
79.
Investigation of reticle defect formation at DUV lithography
机译:
在DUV光刻中标线片缺陷形成的研究
作者:
Kaustuve Bhattacharyya
;
William Volk
;
Brian Grenon
;
Darius Brown
;
Javier Ayala
会议名称:
《22nd Annual BACUS Symposium on Photomask Technology》
|
2002年
关键词:
DUV;
PSM;
mask contamination;
mask;
crystal-growth;
cyanuric acid;
pellicle;
193nm;
scanner;
STARlight;
意见反馈
回到顶部
回到首页