掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on photomask technology
Conference on photomask technology
召开年:
2010
召开地:
Monterey, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
UDOF direct improvement by modulating mask absorber thickness
机译:
通过调制面罩吸收器厚度直接改进
作者:
Tuan-Yen Yu
;
En Chuan Lio
;
Po Tsang Chen
;
Chih I Wei
;
Yi Ting Chen
;
Ming Chun Peng
;
William Chou
;
Chun Chi Yu
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Mask 3D effect;
PR 3D effect;
Mask absorber thickness offset;
uDOF;
iDOF;
Flexwave;
2.
Auto-score System to Optimize OPC Recipe Parameters Using Genetic Algorithm
机译:
自动评分系统使用遗传算法优化OPC配方参数
作者:
Liang Cao
;
Abhishek Asthana
;
Guoxiang Ning
;
Jui-Hsuan Feng
;
Jie Zhang
;
William Wilkinson
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
optical proximity correction;
genetic algorithm;
optimizer;
process window;
3.
Evaluation of photomask flatness compensation for extreme ultraviolet lithography
机译:
极端紫外光刻的光掩模平坦补偿评价
作者:
Katherine Ballman
;
Christopher Lee
;
John Zimmerman
;
Thomas Dunn
;
Alexander Bean
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Photomask Flatness;
EUV;
Compensation;
Overlay;
Image Placement;
UltraFlat;
Functional Tolerance;
4.
Comparative Study on PS Material of EAPSM for Flat Panel Display
机译:
平板显示器EAPSM PS材料的比较研究
作者:
Jin-Woong Jeong
;
Jin-Han Song
;
Ho-Jin Lee
;
Kyu-Sik Kim
;
Woo-Gun Jeong
;
Young-Jin Yoon
;
Sang-Pil Yun
;
Sung-Mo Jung
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Crbased EAPSM;
MoSibased EAPSM;
FPD photomask;
5.
Electron Beam Mask Writer EBM-9500 for Logic 7nm Node Generation
机译:
电子束掩模Writer EBM-9500用于逻辑7nm节点生成
作者:
Hideki Matsui
;
Takashi Kamikubo
;
Satoshi Nakahashi
;
Haruyuki Nomura
;
Noriaki Nakayamada
;
Mizuna Suganuma
;
Yasuo Kato
;
Jun Yashima
;
Victor Katsap
;
Kenichi Saito
;
Ryoei Kobayashi
;
Nobuo Miyamoto
;
Munehiro Ogasawara
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Electron beam mask writer;
Heating effect;
Current density;
Throughput;
CD uniformity;
Image placement;
Overlay;
6.
Development of advanced multi-tone mask by using two different transmittance modulation materials
机译:
使用两种不同的透射率调制材料开发先进的多色调面罩
作者:
Sei-Min Kim
;
Min-Ki Choi
;
Seong-Min Seo
;
Jong-Hwa Lee
;
Cheol Shin
;
Woo-Gun Jeong
;
Sung-Mo Jung
;
Kee-Soo Nam
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Multi-tone mask;
Flat panel display;
Multiple transmittance modulation;
7.
Correction of placement error in EBL using model based method
机译:
基于模型方法校正EBL中的放置误差
作者:
Sergey Babin
;
Sergey Borisov
;
Vladimir Militsin
;
Tadashi Komagata
;
Tetsurou Wakatsuki
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
maskmaking;
charging;
placement error;
registration;
critical dimensions;
electrons scattering;
8.
Identification of a new source of reticle contamination
机译:
识别掩盖掩盖的新来源
作者:
Brian J. Grenon
;
David Brinkley
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
reticle;
pellicle;
Time of Flight Secondary Ion Mass Spectrometry (TOF-SIMS);
adhesive;
haze;
Fourier Transform Infrared Spectroscopy (FTIR);
micro-contamination;
Deep Ultraviolet (DUV) and 193nm lithography;
9.
Writing next-generation display photomasks
机译:
编写下一代显示光掩模
作者:
Tor Sandstrom
;
Mikael Wahlsten
;
Youngjin Park
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
FPD;
AMOLED;
1X lithography;
OLAE;
mura;
transistor;
CDU;
overlay;
10.
Take a byte out of MEEF VAMPIRE: Vehicle for Advanced Mask Pattern Inspection Readiness Evaluations
机译:
从Meef吸血鬼中取出一个字节:用于高级掩模模式检查准备评估的车辆
作者:
KKaren D. Badger
;
Jed Rankin
;
Christina Turley
;
Kazunori Seki
;
Dan J. Dechene
;
Hesham Abdelghany
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
High-MEEF;
Programmed defect test masks (PDM);
14 nm node;
OPC;
wafer printability;
reticle plane inspection;
wafer plane inspection;
11.
Pellicle films supporting the ramp to HVM with EUV
机译:
用EUV支撑斜坡坡道的薄膜膜
作者:
P. J. van Zwol
;
M. Nasalevich
;
W. P. Voorthuijzen
;
E. Kurganova
;
A. Notenboom
;
D. Vies
;
M. Peter
;
W. Symens
;
A.J.M. Giesbers
;
J.H. Klootwijk
;
R.W.E. van de Kruijs
;
W.J. van der Zande
会议名称:
《Conference on photomask technology》
|
2017年
12.
Characterization of Acoustic Cavitation from a Megasonic Nozzle Transducer for Photomask Cleaning
机译:
从荧光掩模清洗器中荧光喷嘴换能声的表征
作者:
Nicolas Candia
;
Claudio Zanelli
;
Johann Brunner
;
Joachim Straka
;
Zhenxing Han
;
Sam Howard
;
Petrie Yam
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
photomask;
cleaning;
acoustic cavitation;
megasonic;
stable cavitation;
transient cavitation;
dual nozzle transducer;
13.
Transparent and conductive backside coating of EUV lithography masks for Ultra Short Pulse laser correction
机译:
用于超短脉冲激光校正的EUV光刻面罩的透明和导电背面涂层
作者:
Rinu Abraham Maniyara
;
Dhriti Sundar Ghosh
;
Valerio Pruneri
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Registration;
Photomask;
Image Placement;
EUV;
Overlay;
RegC?;
Transparent Electrode;
ultrathin metal films;
backside coating;
Abrasion resistant;
14.
Progress in Nanoimprint Wafer and Mask Systems for High Volume Semiconductor Manufacturing
机译:
纳米压印晶片和掩模系统的高批量半导体制造进展
作者:
Kohei Imoto
;
Mitsuru Hiura
;
Hiroshi Morohoshi
;
Tatsuya Hayashi
;
Atsushi Kimura
;
Yoshio Suzaki
;
Jin Choi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
nanoimprint lithography;
NIL;
particle control;
image placement accuracy;
mask replication;
HODC;
15.
Aerial image ORC checks and their correlation to wafer-edge yield limitation for metals: A study and an OPC resolution
机译:
空中图像兽人检查及其与晶圆边缘产量限制的相关性:研究和OPC分辨率
作者:
Tamer Desouky
;
Yixiao Zhang
;
Mark Terry
;
Haizhou Yin
;
Muhammed Pallachali
;
Nicolai Petrov
;
Teck Jung Tang
;
Fadi Batarseh
;
Ahmed Khalil
;
Pietro Babighian
;
Rohan Deshpande
;
Deborah Ryan
;
Rao Desineni
;
Shweta Khokale
;
Feng Wang
;
Sang-Kee Eah
;
Jiechang Hou
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
OPC;
DFM;
CFM;
lithography;
E-beam;
Diagnosis;
aerial checks;
ORC;
16.
Development of E U V pellicle for suppression of contamination, haze and outgas generation
机译:
e u v薄膜的开发抑制污染,雾度和沟槽
作者:
Yosuke Ono
;
Kazuo Kohmura
;
Atsushi Okubo
;
Daiki Taneichi
;
Hisako Ishikawa
;
Tsuneaki Biyajima
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV pellicle;
adhesive;
outgas;
haze;
carbon contamination;
17.
Direct laser writing: virtual mask optimization for optical quality control artefact
机译:
直接激光书写:光学质量控制人工制品的虚拟掩模优化
作者:
Miikka Jaervinen
;
Gianmario Scotti
;
Tuomas Vainikka
;
Edward H?ggstroem
;
Ivan Kassamakov
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Scanning white light interferometry (SWLI);
Design of the Experiment (DOE);
direct laser writing (DLW);
micro-electro-mechanical systems (MEMS);
18.
An efficient tool to rewrite a VSB12 format jobdeck for any target VSB12 machine
机译:
一个有效的工具,用于重写任何目标VSB12机器的VSB12格式Jobdeck
作者:
Juan Olate
;
Gary Meyers
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
VSB;
NuFlare;
VSB12;
Converter;
19.
Automated Defect Disposition with AIMS? AutoAnalysis
机译:
AIMS自动缺陷配置?自动分析
作者:
Guy Russell
;
David Jenkins
;
Arosha Goonesekera
;
Kay Dornbusch
;
Vahagn Sargsyan
;
Hendrik Zachmann
;
Ute Buttgereit
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
AIMS?;
AutoAnalysis;
defect analysis;
defect disposition;
defect review;
automation;
20.
Edge Placement Errors in EUV from aberration variation
机译:
从像差变化的EUV中的边缘放置错误
作者:
Ananthan Raghunathan
;
Germain Fenger
;
Michael Lam
;
Chris Clifford
;
Kostas Adam
;
and John Sturtevant
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
OPC;
modeling;
aberrations;
EUV;
tool matching;
21.
Full-chip GPU-Accelerated Curvilinear EUV Dose and Shape Correction
机译:
全芯片GPU加速曲线EUV剂量和形状校正
作者:
Ryan Pearman
;
Abhishek Shendre
;
Oleg Syrel
;
Harold Zable
;
Ali Bouaricha
;
Mariusz Niewczas
;
Bo Su
;
Leo Pang
;
Aki Fujimura
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
ILT;
EUV;
MPC;
Multi-beam;
PSF;
modeling;
22.
Automatic SRAF Printing Detection Based on Contour Extraction
机译:
基于轮廓提取的自动SRAF印刷检测
作者:
Liang Cao
;
Jie Zhang
;
Wenchao Jiang
;
Jiechang Hou
;
Dongqing Zhang
;
Wei-long Wang
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
contour extraction;
SEM;
metrology;
SRAF detection;
SRAF modeling;
23.
2017 Mask maker survey conducted by the eBeam Initiative
机译:
2017年通过EBEAM倡议进行的面具制造商调查
作者:
Aki Fujimura
;
Jan Willis
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
mask industry;
photomask;
mask yield;
mask data preparation;
eBeam;
multi-beam;
EUV;
MPC;
24.
Selective measurement of small metrology targets using CD-GISAXS
机译:
使用CD-GISAX选择性测量小计量目标
作者:
Mika Pflueger
;
Victor Soltwisch
;
Prank Scholze
;
Michael Krumrey
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
grazing-incidence small-angle X-ray scattering;
GISAXS;
metrology;
gratings;
spot size;
beam footnrint;
25.
Impact of feature extraction to accuracy of machine learning based hot spot detection
机译:
特征提取对基于机器学习热点检测的准确性的影响
作者:
Takashi Mitsuhashi
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Lithography;
Hotspot Detection;
Feature Extraction;
Comparison;
Machine Learning;
Support Vector Machine;
SVM;
26.
The Impact of Inconsistency in Assist Feature Generation on OPC Performance
机译:
不一致在辅助功能生成对OPC性能的影响
作者:
Amr Abdo
;
Ramya Viswanathan
;
Donald Samuels
;
David Conklin
会议名称:
《Conference on photomask technology》
|
2017年
27.
Rigorous simulation of EUV mask pellicle
机译:
严格仿真EUV面膜薄膜
作者:
Yulu Chen
;
Xiangyu Zhou
;
Ulrich Klostermann
;
Lei Sun
;
Obert Wood
;
Mariya Braylovska
;
Sajan Marokkey
;
Francis Goodwin
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV Lithography;
EUV Mask;
Pellicle;
Coherence;
Electromagnetic Field Simulations;
28.
Off-line mask-to-mask registration characterization as enabler for computational overlay
机译:
离线屏蔽到掩码注册表征作为计算叠加的启动器
作者:
Richard van Haren
;
Steffen Steinert
;
Christian Roelofs
;
Orion Mouraille
;
Koen Dhave
;
Leon van Dijk
;
Dirk Beyer
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Registration Error;
Reticle Writing Error;
RWE;
Overlay;
Computational Overlay;
Reticle;
Mask;
LELE;
Feed-Forward;
Multi Patterning;
29.
DUV inspection beyond optical resolution limit for EUV mask of hp 1X nm
机译:
DUV检查超出光学分辨率限制HP 1X NM的EUV掩模
作者:
Masato Naka
;
Akihiko Ando
;
Keiko Morishita
;
Ryoji Yoshikawa
;
Takashi Kamo
;
Takashi Hirano
;
Masamitsu Itoh
会议名称:
《Conference on photomask technology》
|
2017年
30.
CNT EUV pellicle: moving towards a full-size solution
机译:
CNT EUV PELLICLICE:朝向全尺寸解决方案移动
作者:
Marina Y. Timmermans
;
Ivan Pollentier
;
Jae Uk Lee
;
Johan Meersschaut
;
Olivier Richard
;
Christoph Adelmann
;
Cedric Huyghebaert
;
Emily E. Gallagher
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV pellicle;
carbon nanotubes;
free-standing film;
EUV transmission;
31.
New Grade of 9-inch size Mask Blanks for 450mm wafer process (2015)
机译:
450mm晶圆工艺的新级别9英寸尺寸面罩空白(2015)
作者:
Noriyuki Harashima
;
Hiroyuki Iso
;
Tatsuya Chishima
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
9-inch size mask;
High grade 9-inch size mask;
New grade 9-inch size mask blanks;
450mm wafer process;
Semiconductor lithography;
9-inch size glass;
Glass substrate;
Binary Cr mask;
32.
Attenuated phase-shift mask (PSM) blanks for flat panel display
机译:
用于平板显示器的衰减相移掩模(PSM)空白
作者:
Kagehiro Kageyama
;
Satoru Mochizuki
;
Hiroyuki Yamakawa
;
Shigeru Uchida
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Flat panel display;
Phase shift mask;
CrOx type phase shift mask;
33.
Exposure characterizations of polymer type electron beam resists with various molecular weights for next-generation photomask
机译:
聚合物型电子束的曝光表征耐用下一代光掩模各种分子量的抗蚀剂
作者:
Tomohiro Takayama
;
Hironori Asada
;
Yukiko Kishimura
;
Ryoichi Hoshino
;
Atsushi Kawata
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
electron beam lithography;
polymer resist;
positive tone resist;
molecular weight;
exposure characteristics;
line width roughness (LWR);
dry etching resistance;
34.
A Study of Reticle CD Behavior for Inter-area Pattern Loading Difference
机译:
对面积间模式加载差异的掩星CD行为研究
作者:
Sungjin Kim
;
Kweonjae Lee
;
Jongsuk Yim
;
Hyunjoong Kim
;
Sukwhan Kim
;
Sukho Shin
;
Woosun Choi
;
Jinhee Jung
;
Kyungwha Chun
;
Inja Lee
;
Jooyoung Lee
;
Hyeongsun Hong
;
Gyoyoung Jin
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
CD uniformity;
Pattern loading;
Bridging;
MEEF(Mask Error Enhancement Factor);
Notching. Bit-line;
MTT;
Cell;
Core;
Peripheral;
35.
Evaluation of multilayer defect repair viability and protection techniques for EUV masks
机译:
对EUV面具的多层缺陷修复存用度和保护技术的评估
作者:
Takeshi Isogawa
;
Kazunori Seki
;
Mark Lawliss
;
Zhengqing John Qi
;
Jed Rankin
;
Shinji Akima
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV;
absorber stacks;
mask defect repair;
repairability;
printability;
36.
DUV inspection tool application for beyond optical resolution limit pattern
机译:
DUV检查工具应用于超出光学分辨率限制模式
作者:
Hiromu Inoue
;
Nobutaka Kikuiri
;
Hideo Tsuchiya
;
Riki Ogawa
;
Ikunao Isomura
;
Takashi Hirano
;
Ryoji Yoshikawa
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Inspection;
Photo-mask;
EUVL;
Nano-imprint lithography;
DUV;
optical resolution;
37.
EUV actinic brightfield mask microscopy for predicting printed defect images
机译:
EUV光化明菲尔德掩模显微镜,用于预测印刷缺陷图像
作者:
Kenneth Goldberg
;
Markus P. Benk
;
Antoine Wojdyla
;
Erik Verduijn
;
Obert R. Wood Ⅲ
;
Pawitter Mangat
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
extreme ultraviolet;
EUV;
mask;
defects;
actinic;
wafer SEM;
mask SEM;
imaging;
38.
In Die Mask Overlay Control for 14nm Double Patterning Lithography
机译:
在14nm双图案化光刻的模具面罩覆盖控制中
作者:
William Chou
;
James Cheng
;
Alex CP Tseng
;
J K Wu
;
Chin Kuei Chang
;
Jeffrey Cheng
;
Adder Lee
;
Chain Ting Huang
;
N T Peng
;
Simon Cc Hsu
;
Chun Chi Yu
;
Colbert Lu
;
Julia Yu
;
Peter Craig
;
Chuck Pollock
;
Young Ham
;
Jeff McMurran
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Double Pattern Technology (DPT);
Source Mask Optimization (SMO) and Inverse Lithography Technology (ILT);
mask registration;
in-die overlay;
overlay process control;
Pellicle Induced Distortion (PID);
39.
PMJ 2015 Panel Discussion Overview 'EUV or 193i, who wins the center stage for 7nm node HVM in 2018?'
机译:
PMJ 2015年小组讨论概述'EUV或193i,谁在2018年赢得了7nm节点HVM的中心阶段?“
作者:
Yoshinori Nagaoka
;
Junji Miyazaki
会议名称:
《Conference on photomask technology》
|
2015年
40.
Imaging enhancement by reduction of mask topography induced phase aberrations for horizontal 1D spaces under D90Y illumination
机译:
通过减少D90Y照明下的水平1D空间的掩模形貌诱导相位像差的成像增强
作者:
T. Last
;
L. de Winter
;
J. Finders
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV lithography;
Mask 3D effects;
Mask 3D induced phase;
Mask absorber optimization;
41.
Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing
机译:
纳米压印系统的开发和高批量半导体制造的地位
作者:
Kazunori Iwamoto
;
Takehiko Iwanaga
;
S. V. Sreenivasan
;
Junji Iwasa
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Jet and Flash Imprint Lithography;
J-FIL;
overlay;
throughput;
defectivity;
imprint lithography;
nanoimprint lithography;
mask replication;
42.
Rule-based OPC and MPC interaction for implant layers
机译:
基于规则的OPC和植入层的MPC交互
作者:
Nan Fu
;
Guoxiang Ning
;
Florian Werle
;
Stefan Roling
;
Sandra Hecker
;
Paul Ackmann
;
Christian Buergel
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
optical proximity correction;
wafer topography effect;
mask process correction;
selective re-targeting;
43.
Mask process simulation for mask quality improvement
机译:
面罩质量改进的掩模工艺模拟
作者:
Nobuyasu Takahashi
;
So Goto
;
Dai Tsunoda
;
So-Eun Shin
;
Sukho Lee
;
Jungwook Shon
;
Jisoong Park
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
MPC;
OPC;
etch simulation;
eb simulation;
44.
Accurate mask registration on tilted lines for 6F2 DRAM manufacturing
机译:
用于6F2 DRAM制造的倾斜线上准确的面膜注册
作者:
K.-D. Roeth
;
W. Choi
;
Y. Lee
;
S. Kim
;
D. Yim
;
F. Laske
;
M. Ferber
;
M. Daneshpanah
;
E. Kwon
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Mask registration;
pattern placement;
intra-field overlay;
optical lithography extension;
tilted lines;
LMS IPRO;
DRAM manufacturing;
45.
Wafer weak point detection based on aerial images or WLCD
机译:
基于空中图像或WLCD的晶圆弱点检测
作者:
Guoxiang Ning
;
Peter Philipp
;
Lloyd C. Litt
;
Paul Ackmann
;
Christian Crell
;
Norman Chen
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
wafer weak point;
aerial image;
WLCD;
mean to nominal;
46.
Model-based multiple patterning layout decomposition
机译:
基于模型的多图案化布局分解
作者:
Daifeng Guo
;
Haitong Tian
;
Yuelin Du
;
Martin D.F. Wong
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Multiple patterning;
Model-based approach;
Layout decomposition;
47.
MPC model validation using reverse analysis method
机译:
使用反向分析方法的MPC模型验证
作者:
Sukho Lee
;
So-Eun Shin
;
Jungwook Shon
;
Jisoong Park
;
Inkyun Shin
;
Chan-Uk Jeon
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
MPC;
mask process;
mask model;
process stability;
dispersion;
measurement;
metrology;
linearity;
nonlinearity;
48.
Prototyping 9-inch size PSM Mask Blanks for 450mm wafer process (2016)
机译:
固定式9英寸尺寸PSM面膜空白,适用于450mm晶圆工艺(2016)
作者:
Noriyuki Harashima
;
Hiroyuki Iso
;
Tatsuya Chishima
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
9-inch size mask;
High grade 9-inch size mask;
PSM KrF ArF 9-inch size mask blanks;
450mm wafer process;
Semiconductor lithography;
9-inch size glass;
Glass substrate;
PSM KrF ArF mask;
49.
Carbon dioxide gas purification and analytical measurement for leading edge mask and wafer cleaning
机译:
前沿掩模和晶圆清洗的二氧化碳气体净化和分析测量
作者:
Sarah Riddle Vogt
;
Cristian Landoni
;
Chuck Applegarth
;
Larry Rabellino
;
Matt Browning
;
Marco Succi
;
Simona Pirola
;
Giorgio Macchi
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
carbon dioxide (CO_2);
mask cleaning;
airborne molecular contaminants;
gas purification;
50.
Fundamental study of green EUV lithography using natural polysaccharide for the use of pure water in developable process
机译:
绿色EUV光刻利用天然多糖使用纯水在开发过程中的基础研究
作者:
Satoshi Takei
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
pure-water developing process;
green lithography;
polysaccharide;
natural products;
planarization;
mask application;
51.
Development of a novel closed EUV pellicle for EUVL manufacturing
机译:
EUVL制造业新型封闭式EUV薄片的开发
作者:
Yosuke Ono
;
Kazuo Kohmura
;
Atsushi Okubo
;
Daiki Taneichi
;
Hisako Ishikawa
;
Tsuneaki Biyajima
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV pellicle;
EUV mask infrastructure;
ventilation;
reticle defectivity mitigation;
52.
Investigation of fabrication process for sub 20-nm dense pattern of non-chemically amplified electron beam resist based on acrylic polymers
机译:
基于丙烯酸类聚合物的非化学放大电子束抗蚀剂亚20-nm密集图谱制造工艺的研究
作者:
Shunsuke Ochiai
;
Tomohiro Takayama
;
Yukiko Kishimura
;
Hironori Asada
;
Manae Sonoda
;
Minako Iwakuma
;
Ryoichi Hoshino
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
electron beam lithography;
polymer resist;
non-chemically amplified resist;
positive tone resist;
exposure characteristics;
development process;
post exposure baking;
53.
Approach of UV nanoimprint lithography using template with gas-permeable and gaseous adsorption for reduction of air-trapping issue
机译:
用透气和气态吸附模板使用模板的UV纳米压印光刻方法,减少空气捕获问题
作者:
Satoshi Takei
;
Naoto Sugino
;
Takao Kameda
;
Shinya Nakajima
;
Makoto Hanabata
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Template;
mold;
UV nanoimprint lithography;
photomask;
gas-permeable film;
54.
Megasonic cleaning strategy for sub-10nm photomasks
机译:
Sub-10nm Photomasks的巨型清洁策略
作者:
Jyh-Wei Hsu
;
Martin Samayoa
;
Peter Dress
;
Uwe Dietze
;
Ai-Jay Ma
;
Chia-Shih Lin
;
Rick Lai
;
Peter Chang
;
Laurent Tuo
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Megasonic cleaning;
Photomask;
Cavitation;
Pattern damage;
Wettability;
Contact angle;
SRAF;
Defect;
55.
High-performance fabrication process for 2xnm hole-NIL template production
机译:
用于2xnm孔的高性能制造工艺 - 钻孔模板生产
作者:
Keisuke Yagawa
;
Machiko Suenaga
;
Takeharu Motokawa
;
Mana Tanabe
;
Akihiko Ando
;
Eiji Yamanaka
;
Keiko Morishita
;
Shingo Kanamitsu
;
Masato Saito
;
Masamitsu Itoh
会议名称:
《Conference on photomask technology》
|
2016年
56.
NXE Pellicle: Development Update
机译:
NXE PELLICLICE:发展更新
作者:
Derk Brouns
;
Aage Bendiksen
;
Par Broman
;
Eric Casimiri
;
Paul Colsters
;
Dennis de Graaf
;
Hilary Harrold
;
Piet Hennus
;
Paul Janssen
;
Ronald Kramer
;
Matthias Kruizinga
;
Henk Kuntzel
;
Raymond Lafarre
;
Andrea Mancuso
;
David Ockwell
;
Daniel Smith
;
David van de Weg
;
Jim Wiley
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV;
pellicle;
pellicle tooling;
reticle defect mitigation;
EUV mask infrastructure;
57.
MBMW-101: World's 1st High-Throughput Multi-Beam Mask Writer
机译:
MBMW-101:世界第一高吞吐量多梁面罩作家
作者:
Christof Klein
;
Elmar Platzgummer
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
electron;
multi-beam;
mask writer;
template writer;
MBMW;
OPC;
ILT;
58.
The Technical Consideration of Multi-beam Mask Writer for Production
机译:
生产多梁面罩作家的技术考虑
作者:
Sang Hee Lee
;
Byoung-Sup Ahn
;
Jin Choi
;
In Kyun Shin
;
Shuichi Tamamushi
;
Chan-Uk Jeon
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Multi-beam mask writer;
throughput;
resolution;
VSB mask writer;
registration;
CD;
mass production;
59.
Impact of noise sources and optical design on defect sensitivity for EUV actinic pattern inspection
机译:
噪声源和光学设计对EUV光化图案检验缺陷敏感性的影响
作者:
Yow-Gwo Wang
;
Andy Neureuther
;
Patrick Naulleau
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV Actinic Pattern Inspection;
Material-induced Phase Effect;
EUV Mask Pattern Defect;
Optical Design;
Speckle Noise;
Photon Shot Noise;
Signal-to-Noise Ratio (SNR);
60.
Nanoimprint Wafer and Mask Tool Progress and Status for High Volume Semiconductor Manufacturing
机译:
纳米视网膜晶片和掩模工具进度和高批量半导体制造的状态
作者:
Yoichi Matsuoka
;
Junichi Seki
;
Takahiro Nakayama
;
Kazuki Nakagawa
;
Hisanobu Azuma
;
Kiyohito Yamamoto
;
Chiaki Sato
;
Fumio Sakai
;
Yukio Takabayashi
;
Ali Aghili
;
Makoto Mizuno
;
Jin Choi
;
Chris E. Jones
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
nanoimprint lithography;
cost of ownership;
particle control;
image placement accuracy;
mask replication;
61.
Influence of non-uniform intensity distribution of deformed pellicle for N7 patterning
机译:
不均匀强度分布对N7图案的变形薄膜的影响
作者:
In-Seon Kim
;
Guk-Jin Kim
;
Micheal Yeung
;
Eytan Barouch
;
Min-Su Kim
;
Jin-Goo Park
;
Hye-Keun Oh
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV lithography;
Pellicle;
CD uniformity;
Pellicle deformation;
62.
Reticle Decision Center - a novel applications platform for enhancing reticle yield and productivity at 10nm technology and beyond
机译:
掩盖决策中心 - 一种新型应用程序平台,用于提高10nm技术及以后的10nm技术
作者:
George Hwa
;
Raj Bugata
;
Kaiming Chiang
;
Suresh Lakkapragada
;
Vikram Tolani
;
Sandhya Gopalakrishnan
;
Chnn-Jen Chen
;
Chin-Ting Yang
;
Sheng-Chang Hsu
;
Laurent Tuo
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
ADC;
Mask inspection;
Defect Disposition;
RDC;
AIA;
Photomask defect yield;
Photomask cycle time;
LPR;
RPG;
63.
The Study of CD Side to Side Error in Line/Space Pattern Caused by Post-Exposure Bake Effect
机译:
曝光后烘烤效果引起的线/空间模式中CD侧对侧误差的研究
作者:
Jin Huang
;
Eric Guo
;
Haiming Ge
;
Max Lu
;
Yijun Wu
;
Mingjing Tian
;
Shichuan Yan
;
Ran Wang
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
CD uniformity;
CD side to side error;
PEB;
L/S pattern;
pattern density;
64.
Defect Inspection and Printability Study for 14 nm Node and Beyond Photomask
机译:
14个NM节点及超出光罩的缺陷检测和可印刷性研究
作者:
Kazunori Seki
;
Masashi Yonetani
;
Karen Badger
;
Dan J. Dechene
;
Shinji Akima
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Mask;
Defect;
Inspection;
Litho-based inspection;
Sensitivity;
Inspectability;
65.
To repair or not to repair - with FAVOR there is no question
机译:
修理或不修复 - 有利于毫无疑问
作者:
Anthony Garetto
;
Kristian Schulz
;
Gilles Tabbone
;
Michael Himmelhaus
;
Thomas Scheruebl
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
FAVOR;
automation;
AutoAnalysis;
Advanced Repair Center;
defect handling;
defect analysis;
defectivity;
review;
mitigation;
repair;
66.
EBL2: high power EUV exposure facility
机译:
EBL2:高功率EUV曝光设施
作者:
Edwin te Sligte
;
Norbert Koster
;
Freek Molkenboer
;
Peter van der Walle
;
Pirn Muilwijk
;
Wouter Mulckhuyse
;
Bastiaan Oostdijck
;
Christiaan Hollemans
;
Bjoern Nijland
;
Peter Kerkhof
;
Michel van Putten
;
Andre Hoogstrate
;
Alex Deutz
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUVL mask;
EUV exposure;
EUVL pellicle;
EUV metrology;
lifetime research;
67.
Introducing the EUV CNT pellicle
机译:
介绍EUV CNT薄膜
作者:
Jae Uk Lee
;
Johannes Vanpaemel
;
Ivan Pollentier
;
Christoph Adelmann
;
Houman Zahedmanesh
;
Cedric Huyghebaert
;
Marina Timmermans
;
Michael De Voider
;
Emily Gallagher
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV;
pellicle;
carbon nanotube;
CNT;
mask;
defect;
bulge test;
emissivity layer;
68.
Analyzing EUV Mask Costs
机译:
分析EUV面具成本
作者:
Michael Lercel
;
Bryan Kasprowicz
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV;
mask cost;
69.
Mechanical stress induced by external forces in the extreme ultraviolet pellicle
机译:
极端紫外薄膜中的外力诱导的机械应力
作者:
Hyun-Ju Lee
;
Eun-Sang Park
;
In-Seon Kim
;
Hye-Keun Oh
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV;
Pellicle;
Deformation;
Stress;
70.
Registration performance on EUV masks using high-resolution registration metrology
机译:
使用高分辨率注册计量的EUV面具上的注册性能
作者:
Steffen Steinert
;
Hans-Michael Solowan
;
Jinback Park
;
Hakseung Han
;
Dirk Beyer
;
Thomas Scheruebl
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Registration;
Photomask;
PROVE~?;
Overlay;
Double Patterning;
Image Placement;
EUV;
71.
Quantifying imaging performance bounds of extreme dipole illumination in high NA optical lithography
机译:
高NA光学光刻中极端偶极照明的成像性能范围
作者:
Myungjun Lee
;
Mark D. Smith
;
John Biafore
;
Trey Graves
;
Ady Levy
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Lithography;
aerial imaging;
depth of focus;
process window;
NILS;
MEEF;
PW;
dipole illumination;
diffraction;
interference;
Overlay metrology target design;
Focus and dose metrology target design;
72.
Implementation of CDSEM Contour Extraction for OPC Verification
机译:
OPC验证的CDSEM轮廓提取的实现
作者:
Liang Cao
;
Jie Zhang
;
Hongxin Zhang
;
Jiechang Hou
;
Guoxiang Ning
;
William Wilkinson
;
Shaowen Gao
;
Norman Chen
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Contour Extraction;
OPC Verification;
CDSEM;
73.
Machine learning assisted SRAF placement for full chip
机译:
机器学习辅助SRAF放置全芯片
作者:
Shibing Wang
;
Jing Su
;
Quan Zhang
;
Weichun Fong
;
Dezheng Sun
;
Stanislas Baron
;
Cuiping Zhang
;
Chenxi Lin
;
Been-Der Chen
;
Rafael C. Howell
;
Stephen D. Hsu
;
Larry Luo
;
Yi Zou
;
Yen-Wen Lu
;
Yu Cao
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Sub-resolution assist feature (SRAF);
Lithography;
Process window and machine learning assisted SRAF;
74.
Advanced Process Control Based on Litho-Patterning Density
机译:
基于Litho-Patterning密度的先进过程控制
作者:
Yuping Ren
;
Guoxiang Ning
;
Wenchao Jiang
;
Xiang Hu
;
Lloyd Litt
;
Paul Ackmann
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
APC;
Lot to Lot Variation;
Wafer to Wafer Variation;
Pattern Density;
75.
Advanced Photomask Chrome Etch Selectivity without Sacrifice
机译:
高级Photomask Chrome蚀刻选择性没有牺牲
作者:
Michael Morgan
;
Chris Johnson
;
Kristen Bevlin
;
Dwarakanath Geerpuram
;
Russ Westerman
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Cr etch;
photoresist;
EUV;
photomask;
selectivity;
ion/neutral control;
pre-treatment;
76.
Micro-defect repair assisted with contour-based 2D metrology
机译:
微缺陷修复辅助基于轮廓的2D计量
作者:
Irene Shi
;
Eric Guo
;
Max Lu
;
Izumi Santo
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
micro defect;
wafer printability;
Contour-based 2D Metrology;
77.
Dark Field Technology for EUV and Optical Mask Blank Inspection
机译:
EUV和光学掩模空白检测的暗场技术
作者:
Qiuping Nie
;
David Aupperle
;
Alexander Tan
;
Bill Kalsbeck
;
Qiang Zhang
;
Gregg Inderhees
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV;
blank mask inspection;
reticle inspection;
particle inspection;
78.
Improved testpatterns and coverage for complex SrAF to optimize 5nm and below OPC and mask patterning
机译:
改进了TestPatterns和Complex SRAF的覆盖范围,以优化5nm和以下OPC和掩模图案化
作者:
Marco A. Guajardo
;
Hesham Abdelghany
;
Ahmed Omran
;
Yu Chen
;
Kevin Lucas
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Assist features;
SrAFs;
OPC;
OPC testpatterns;
pattern coverage;
neural networks;
support vector machine;
79.
Process window discovery from mask inspection for hotspot analysis and verification
机译:
从掩模检查的过程窗口发现热点分析和验证
作者:
James Cheng
;
William Chou
;
C. H. Twu
;
Hsin-Fu Chou
;
Jackie Cheng
;
Colbert Lu
;
Heng-Jen Lee
;
Bosheng Zhang
;
Mehdi Daneshpanah
;
Apo Sezginer
;
David Wu
;
Mike Yeh
;
Albert Chien
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Mask inspection;
process window discovery;
hotspot verification;
computational lithography;
through-focus imaging;
80.
EUV optical characterization of alternative membrane materials for EUV pellicles
机译:
EUV光学表征EUV薄膜的替代膜材料
作者:
Frank Scholze
;
Christian Laubis
;
Michael Krumrey
;
Marina Y. Timmermans
;
Ivan Pollentier
;
Emily E. Gallagher
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
EUV optical material parameters;
EUV transmittance;
EUV scattering;
EUV transparent membranes;
81.
Manufacturing Challenges for Curvilinear Masks
机译:
制造曲线面具的挑战
作者:
Chris Spence
;
Quan Zhang
;
Vincent Shu
;
Been-Der Chen
;
Stanislas Baron
;
Yasuko Saito
;
Masakazu Hamaji
;
Yasuaki Horima
;
Shuichiro Ohara
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Curvilinear mask;
lithography;
mask data preparation;
process window;
82.
CLMPC - Curvilinear MPC in a mask data preparation flow
机译:
CLMPC - 掩模数据准备流程中的Curvilinear MPC
作者:
Ingo Bork
;
Murali Ready
;
Bhardwaj Durvasula
;
Nageswara Rao
;
Malavika Sharma
;
Peter Buck
会议名称:
《Conference on photomask technology》
|
2017年
关键词:
Photomask;
Curvilinear;
MPC;
model-based MRC;
proximity effect correction;
ILT;
83.
Novel CD control of HTPSM by advanced process for sub-20 nm tech
机译:
Sub-20 NM Tech先进过程的新型CD控制HTPSM
作者:
Sangjin Jo
;
Chungseon Choi
;
Sunghyun Oh
;
Taejoong Ha
;
Youngmo Lee
;
Sangpyo Kim
;
Donggyu Yim
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
CD MTT;
PSM;
SEM;
CD gap;
84.
Optical proximity correction for extreme ultra-violet mask with pellicle
机译:
极端超紫色面罩用薄膜的光学接近校正
作者:
Soo-Yeon Mo
;
In-Seon Kim
;
Hye-Keun Oh
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV(Extreme ultraviolet);
pellicle;
OPC(Optical proximity correction);
EPE(Edge placement error);
85.
A study on the factors that affect the advanced mask defect verification
机译:
影响影响高级掩模缺陷验证的因素的研究
作者:
Sungha Woo
;
Heeyeon Jang
;
Youngmo Lee
;
Sangpyo Kim
;
Donggyu Yim
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
AIMS;
Repeating defect;
verification;
86.
Actinic Review of EUV Masks: Status and Recent Results of the AIMS? EUV System
机译:
EUV面具的幻想综述:地位和最近的目标? EUV系统
作者:
Sascha Perlitz
;
Jan Hendrik Peters
;
Markus Weiss
;
Dirk Hellweg
;
Renzo Capelli
;
Krister Magnusson
;
Matt Malloy
;
Stefan Wurm
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
AIMS?;
AIMS? EUV;
EUV Lithography;
EUV masks;
mask defects;
actinic inspection;
87.
The study of phase effects in EUV mask pattern defects
机译:
EUV掩模模式缺陷中相位效应的研究
作者:
Yow-Gwo Wang
;
Andy Neureuther
;
Patrick Naulleau
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV Actinic Pattern Mask Inspection;
Material-induced Phase Effect;
Pattern Defect;
Pupil Engineering;
88.
Lithography and mask challenges at the leading edge
机译:
在前缘的光刻和面具挑战
作者:
Harry J. Levinson
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Lithography;
mask;
reticle;
EUV;
89.
Improvement in accuracy of defect size measurement by automatic defect classification
机译:
通过自动缺陷分类改进缺陷尺寸测量的准确性
作者:
Bhamidipati Samir
;
Mark Pereira
;
Sankaranarayanan Paninjath
;
Chan-Uk Jeon
;
Dong-Hoon Chung
;
Gi-Sung Yoon
;
Hong-Yul Jung
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Automatic Defect Classification;
ADC;
mask blank;
mask substrate;
mask inspection;
mask defect classification;
mask repair;
defect avoidance;
90.
Reduction of in-lot overlay variation with integrated metrology, and a holistic control strategy
机译:
减少覆盖综合计量的批量变化,以及整体控制策略
作者:
Hong-Goo Lee
;
Sang-Jun Han
;
Won-Kwang Ma
;
Young-Sik Kim
;
Noh-Jung Kwak
;
Paul Boecker
;
David Deckers
;
Weitian Kou
;
Michiel Kupers
;
Kevin Ryan
;
Elliott McNamara
;
Gwang-Gon Kim
;
Kyu-Tae Sun
;
Young-Wan Lim
;
Jin-Moo Byun
;
Jung-Joon Suh
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
ArF immersion lithography;
integrated metrology;
wafer level control;
91.
Phase Imaging Results of Phase Defect Using Micro Coherent EUV Scatterometry Microscope
机译:
使用微相干EUV散射测定法显微镜相成像结果
作者:
Tetsuo Harada
;
Hiraku Hashimoto
;
Tsuyoshi Amano
;
Hiroo Kinoshita
;
Takeo Watanabe
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV lithography;
phase defect;
review microscope;
ptychography;
coherent diffraction imaging;
92.
New method of detection and classification of yield-impacting EUV mask defects
机译:
屈服影响EUV掩模缺陷的新方法检测和分类方法
作者:
Ioana Graur
;
Dmitry Vengertsev
;
Ananthan Raghunathan
;
Ian Stobert
;
Jed Rankin
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV;
Defectivity;
Classification;
HOG;
Yield;
93.
Investigation of scum type growing defects on attenuated PSM and its prevention
机译:
减毒PSM对浮渣种植缺陷的调查及预防
作者:
Jihwan Choi
;
Yongho Kim
;
Dongwook Lee
;
Hoyong Jung
;
Sangpyo Kim
;
Donggyu Yim
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
Photomask;
Scum type growing defect;
94.
Process capability of etched multilayer EUV mask
机译:
蚀刻多层EUV面罩的过程能力
作者:
Kosuke Takai
;
Noriko Iida nee Sakurai
;
Takashi Kamo
;
Yasutaka Morikawa
;
Naoya Hayashi
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV lithography;
EUV mask;
mask 3D effect;
etched multilayer;
effective pattern width;
95.
Advanced Repair Solution of Clear Defects on HTPSM by using Nanomachining tool
机译:
使用纳米轴线工具进行HTPSM清晰缺陷的先进修复解决方案
作者:
Hyemi Lee
;
Munsik Kim
;
Hoyong Jung
;
Sangpyo Kim
;
Donggyu Yim
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
AFM repair process;
Clear Defect;
Qz etching;
Nanomachining;
96.
Variations in programmed phase defect size and its impact on defect detection signal intensity using at-wavelength inspection system
机译:
编程相位缺陷尺寸的变化及其对波长检测系统对缺陷检测信号强度的影响
作者:
Tsuyoshi Amano
;
Noriaki Takagi
;
Tsukasa Abe
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV;
phase defect;
inspection;
defect mitigation;
97.
A fully model-based MPC solution including VSB shot dose assignment and shape correction
机译:
基于模型的MPC解决方案,包括VSB射击剂量分配和形状校正
作者:
Ingo Bork
;
Peter Buck
;
Murali Reddy
;
Bhardwaj Durvasula
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
MPC;
mask process;
multi dose;
VSB;
mask model;
proximity effect correction;
edge slope;
98.
Properties and performance of EUVL pellicle membranes
机译:
EUVL薄膜膜的性能和性能
作者:
Emily E. Gallagher
;
Johannes Vanpaemel
;
Ivan Pollentier
;
Houman Zahedmanesh
;
Christoph Adelmann
;
Cedric Huyghebaert
;
Rik Jonckheere
;
Jae Uk Lee
会议名称:
《Conference on photomask technology》
|
2015年
关键词:
EUV lithography;
EUV mask;
pellicle;
defect mitigation;
particle adders;
99.
Reticle Inspection Equipment Productivity Increase Using SEMI Specification for Reticle and Pod Management
机译:
掩盖检测设备使用SEMI规范进行掩模版和POD管理的生产率增加
作者:
Ron Taylor
;
Jack Downey
;
Jeffrey Wood
;
Yen-Hung Lin
;
Bharathi Bugata
;
Dongsheng Fan
;
Carl Hess
;
Mark Wylie
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
Factory automation;
reticle inspection;
AMHS;
MES;
100.
Experimental verification of AI decomposition-based source optimization for Ml two-bar building blocks in 0.33NA EUVL
机译:
0.33NA EUVL中ML双杆构建块的AI分解源优化的实验验证
作者:
T. Last
;
Z. Wang
;
P. van Adrichem
;
L. de Winter
;
J. Finders
会议名称:
《Conference on photomask technology》
|
2016年
关键词:
EUV Lithography;
Mask 3D Effects;
SMO;
Source Optimization;
EUV Mask Absorber Optimization;
意见反馈
回到顶部
回到首页