掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
IEEE/ACM International Symposium on Low Power Electronics and Design
IEEE/ACM International Symposium on Low Power Electronics and Design
召开年:
2019
召开地:
Lausanne(CH)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Monolithic 3D IC designs for low-power deep neural networks targeting speech recognition
机译:
单片3D针对语音识别的低功耗深神经网络设计
作者:
Kyungwook Chang
;
Deepak Kadetotad
;
Yu Cao
;
Jae-sun Seo
;
Sung Kyu Lim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Computer architecture;
Microprocessors;
Neurons;
Hidden Markov models;
Random access memory;
Speech recognition;
Metals;
2.
SceneMan: Bridging mobile apps with system energy manager via scenario notification
机译:
Senfeman:通过方案通知,使用System Energy Manager桥接移动应用程序
作者:
Li Li
;
Jun Wang
;
Xiaorui Wang
;
Handong Ye
;
Ziang Hu
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Androids;
Humanoid robots;
Optimization;
Energy consumption;
Mobile communication;
Bandwidth;
Programming;
3.
Transistor-level monolithic 3D standard cell layout optimization for full-chip static power integrity
机译:
全芯片静态功率完整性晶体管级整体3D标准电池布局优化
作者:
Bon Woong Ku
;
Taigon Song
;
Arthur Nieuwoudt
;
Sung Kyu Lim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Layout;
Standards;
Two dimensional displays;
Computer architecture;
Microprocessors;
Logic gates;
Three-dimensional displays;
4.
Comparative study and optimization of synchronous and asynchronous comparators at near-threshold voltages
机译:
近阈值电压同步和异步比较器的比较研究与优化
作者:
Sung Justin Kim
;
Doyun Kim
;
Mingoo Seok
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Transistors;
Power dissipation;
Clocks;
Inverters;
Layout;
Latches;
Delays;
5.
Invited paper: Resilient and energy-secure power management
机译:
邀请纸:弹性和能量安全电源管理
作者:
Pradip Bose
;
Alper Buyuktosunoglu
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Program processors;
Resilience;
Reliability;
Power control;
Power demand;
Security;
Circuit faults;
6.
Invited paper: Low power requirements and side-channel protection of encryption engines: Challenges and opportunities
机译:
邀请纸:加密引擎的低功耗要求和侧通道保护:挑战和机遇
作者:
Monodeep Kar
;
Arvind Singh
;
Sanu Mathew
;
Anand Rajan
;
Vivek De
;
Saibal Mukhopadhyay
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Engines;
Encryption;
Regulators;
Resistance;
Voltage control;
Power measurement;
7.
Spatial and temporal scheduling of clock arrival times for IR hot-spot mitigation, reformulation of peak current reduction
机译:
时钟到达时间的空间和时间调度IR热点缓解,峰值电流的重新计算
作者:
Bhoopal Gunna
;
Lakshmi Bhamidipati
;
Houman Homayoun
;
Avesta Sasan
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Clocks;
Timing;
Switches;
Registers;
System-on-chip;
Materials requirements planning;
Threshold voltage;
8.
Low power in-memory computing based on dual-mode SOT-MRAM
机译:
基于双模SOT-MRAM的低功率内存计算
作者:
Farhana Parveen
;
Shaahin Angizi
;
Zhezhi He
;
Deliang Fan
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Magnetic tunneling;
Microprocessors;
Decoding;
Resistance;
Memory management;
Torque;
9.
A low power duobinary voltage mode transmitter
机译:
低功耗分布电压模式发射器
作者:
Ming-Hung Chien
;
Yen-Long Lee
;
Jih-Ren Goh
;
Soon-Jyh Chang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Transmitters;
Optical signal processing;
Logic gates;
Impedance;
Power demand;
Encoding;
Signal to noise ratio;
10.
A simple yet efficient accuracy configurable adder design
机译:
一种简单但高效的准确性可配置的加法器设计
作者:
Wenbin Xu
;
Sachin S. Sapatnekar
;
Jiang Hu
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Adders;
Error correction;
Degradation;
Delays;
Redundancy;
Gears;
Discrete cosine transforms;
11.
Frequency and time domain analysis of power delivery network for monolithic 3D ICs
机译:
单片3D IC电力传递网络的频率和时域分析
作者:
Kyungwook Chang
;
Shidhartha Das
;
Saurabh Sinha
;
Brian Cline
;
Greg Yeric
;
Sung Kyu Lim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Discrete cosine transforms;
Benchmark testing;
Passive optical networks;
Lead;
Switches;
12.
SENIN: An energy-efficient sparse neuromorphic system with on-chip learning
机译:
Senin:一种具有片上学习的能量效率稀疏神经族态系统
作者:
Myung-Hoon Choi
;
Seungkyu Choi
;
Jaehyeong Sim
;
Lee-Sup Kim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Neurons;
Neuromorphics;
Biological neural networks;
Algorithm design and analysis;
Energy efficiency;
Classification algorithms;
Computer architecture;
13.
Gabor filter assisted energy efficient fast learning Convolutional Neural Networks
机译:
Gabor过滤器辅助节能快速学习卷积神经网络
作者:
Syed Shakib Sarwar
;
Priyadarshini Panda
;
Kaushik Roy
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Training;
Kernel;
Gabor filters;
Neural networks;
Energy efficiency;
Energy consumption;
Feature extraction;
14.
Efficient thermoelectric cooling for mobile devices
机译:
用于移动设备的高效热电冷却
作者:
Youngmoon Lee
;
Eugene Kim
;
Kang G. Shin
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Cooling;
Program processors;
Temperature measurement;
Mobile handsets;
Heating systems;
Runtime;
15.
Temporal codes in on-chip interconnects
机译:
片上互连中的时间代码
作者:
Michael Mishkin
;
Nam Sung Kim
;
Mikko Lipasti
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2017年
关键词:
Protocols;
Radiation detectors;
Receivers;
Wires;
Ports (Computers);
System-on-chip;
Transmitters;
16.
The new (system) balance of power and opportunities for optimizations
机译:
新(系统)权力和优化机会的余额
作者:
Ranganathan Parthasarathy
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Amdahl ratios;
balanced system design;
datacenters;
energy efficiency;
servers;
warehouse-scale computing;
17.
Accelerator-rich architectures — from single-chip to datacenters
机译:
加速器丰富的架构 - 从单芯片到数据中心
作者:
Cong Jason
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Energy-efficient computing;
accelerators;
18.
Low power design techniques in mobile processes
机译:
移动过程中的低功耗设计技术
作者:
Arabi Karim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
AMobile computing;
CPU;
Modem;
SoC;
low power design;
19.
Failing to fail - achieving success in advanced low power design using UPF
机译:
未能通过UPF实现高级低功耗设计成功
作者:
Koster Rick
;
Prasad Sushma Honnavara
;
Ramachandra Shreedhar
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Low power design and verification;
UPF;
20.
Quantifying the impact of variability on the energy efficiency for a next-generation ultra-green supercomputer
机译:
量化可变性对下一代超绿色超级计算机的能效的影响
作者:
Fraternali Francesco
;
Bartolini Andrea
;
Cavazzoni Carlo
;
Tecchiolli Giampietro
;
Benini Luca
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Energy Aware;
Energy Efficiency;
Supercomputer;
Variability;
21.
An open-source framework for formal specification and simulation of electrical energy systems
机译:
用于电能系统的正式规范和仿真的开源框架
作者:
Vinco Sara
;
Sassone Alessandro
;
Fummi Franco
;
Macii Enrico
;
Poncino Massimo
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Electrical Energy Systems;
IP-XACT;
SystemC-AMS;
power simulation;
22.
MIN: A power efficient mechanism to mitigate the impact of process variations on nanophotonic networks
机译:
Min:功率有效机制,以减轻过程变化对纳米光电网络的影响
作者:
Beigi Majed Valad
;
Memik Gokhan
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Nanophotonic;
Networks-on-chip;
Process variations;
23.
Process and design solutions for exploiting FD-SOI technology towards energy efficient SOCs
机译:
用于利用FD-SOI技术对节能SOC的过程和设计解决方案
作者:
Flatresse Philippe
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
SOC;
UTBB FD-SOI;
body biasing;
energy efficiency;
low voltage;
multi-VT;
process compensation;
ultra wide voltage range;
24.
A memory rename table to reduce energy and improve performance
机译:
内存重命名表以减少能量并提高性能
作者:
Pusdesris Joseph
;
VanderSloot Benjamin
;
Mudge Trevor
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Load store unit;
energy-efficiency;
memory system;
25.
A compact macromodel for the charge phase of a battery with typical charging protocol
机译:
具有典型充电协议的电池充电阶段的紧凑型宏偶像
作者:
Donghwa Shin
;
Sassone Alessandro
;
Bocca Alberto
;
Macii Alberto
;
Macii Enrico
;
Poncino Massimo
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Battery Modeling;
Battery charging;
Constant Current - Constant Voltage (CC-CV);
Datasheet;
Peukert's law;
26.
A digital dynamic write margin sensor for low power read/write operations in 28nm SRAM
机译:
用于28nm SRAM的低功耗读/写操作的数字动态写裕度传感器
作者:
Beshay Peter
;
Calhoun Benton H.
;
Chandra Vikas
;
Aitken Rob
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Adaptive Stability;
Calibration;
Dynamic Margin Sensor;
Low power SRAM;
Wordline Control;
Wordline Quantization;
27.
Challenges in low-power analog circuit design for sub-28nm CMOS technologies
机译:
低功耗模拟电路设计的挑战,用于SUB-28NM CMOS Technologies
作者:
Fahim Amr
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
28nm CMOS technology;
FinFET;
Low power analog circuit design;
device mismtach;
leakage current;
28.
A deterministic-dither-based, all-digital system for on-chip power supply noise measurement
机译:
基于确定的抖动,用于片上电源噪声测量的全数字系统
作者:
Sankaragomathi Kannan
;
Smith William
;
Otis Brian
;
Sathe Visvesh
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
29.
EECache: Exploiting design choices in energy-efficient last-level caches for chip multiprocessors
机译:
EECACHE:利用芯片多处理器节能最后级别高速缓存的设计选择
作者:
Hsiang-Yun Cheng
;
Poremba Matt
;
Shahidi Narges
;
Stalev Ivan
;
Irwin Mary Jane
;
Kandemir Mahmut
;
Sampson Jack
;
Yuan Xie
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Cache;
Energy-efficiency;
Power management;
30.
Gated low-power clock tree synthesis for 3D-ICs
机译:
用于3D-IC的门控低功耗时钟树综合
作者:
Tiantao Lu
;
Srivastava Ankur
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
3D-ICs;
TSV;
clock gating;
optimization;
31.
a-SAD: Power efficient SAD calculator for real time H.264 video encoder using MSB-approximation technique
机译:
A-SAF:用于实时H.264使用MSB近似技术的视频编码器的功率高效悲伤计算器
作者:
Le Dinh Trang Dang
;
Ik Joon Chang
;
Jinsang Kim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Approximate Computing;
MSB-Approximation;
Motion Estimation;
SAD Circuit Design;
32.
QPR.js: A runtime framework for QoS-aware power optimization for parallel JavaScript programs
机译:
QPR.JS:并行JavaScript程序的QoS感知电源优化运行时框架
作者:
Wonjun Lee
;
Channoh Kim
;
Houp Song
;
Lee Jae W.
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
DVFS;
JavaScript;
Power Optimization;
multi-core;
33.
Energy-Autonomous MCU Operating in sub-VT Regime with Tightly-Integrated Energy-Harvester : A SoC for IoT smart nodes containing a MCU with minimum-energy point of 2.9pJ/cycle and a harvester with output power range from sub-μW to 4.32mW
机译:
在Sub-VT制度中运行的能量自主MCU,具有紧密集成的能源 - 收割机:用于IOT智能节点的SOC,其包含最小能量点为2.9pj /循环的MCU和具有输出功率范围的Harvester从子μW到4.32 m
作者:
J. Deng
;
J-L Nagel
;
L. Zahnd
;
M. Pons
;
D. Ruffieux
;
C. Arm
;
P. Persechini
;
S. Emery
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Voltage-controlled oscillators;
Clocks;
Voltage control;
Batteries;
Pulse width modulation;
Transistors;
Energy measurement;
34.
A Pulse-Width Modulated Cochlear Implant Interface Electronics with 513 μW Power Consumption
机译:
具有513μW功耗的脉冲宽度调制的耳蜗植入式接口电子器件
作者:
Halil Anda? Yi?it
;
Hasan Ulu?an
;
Muhammed Berat Yuksel
;
Salar Chamanian
;
Berkay ?iftci
;
Aziz Koyuncuo?lu
;
Ali Muhtaro?lu
;
Haluk Külah
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Sensors;
Power demand;
Electrodes;
Cochlear implants;
Rectifiers;
Capacitors;
Ear;
35.
Leakage mitigation techniques in smartphone SoCs
机译:
智能手机SoC中的泄漏缓解技术
作者:
Redmond John
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
36.
Smart Butterfly: Reducing static power dissipation of network-on-chip with core-state-awareness
机译:
智能蝴蝶:通过核心状态意识降低芯片上网静态功耗
作者:
Siyu Yue
;
Lizhong Chen
;
Di Zhu
;
Pinkston Timothy M.
;
Pedram Massoud
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Network-on-chip;
flattened butterfly;
power-gating;
37.
Energy-efficient mapping of biomedical applications on domain-specific accelerator under process variation
机译:
在过程变化下的域特定加速器上的生物医学应用的节能映射
作者:
Tavana Mohammad Khavari
;
Kulkarni Amey
;
Rahimi Abbas
;
Mohsenin Tinoosh
;
Homayoun Houman
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Mapping;
accelerator;
energy efficiency;
many-core systems;
process variation;
38.
Design exploration of racetrack lower-level caches
机译:
赛道下层缓存的设计探索
作者:
Zhenyu Sun
;
Xiuyuan Bi
;
Jones Alex K.
;
Hai Li
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Cache Memory;
Racetrack Memory;
39.
Prolonging PCM lifetime through energy-efficient, segment-aware, and wear-resistant page allocation
机译:
通过节能,分段感知和耐磨页面分配延长PCM寿命
作者:
Khouzani Hoda Aghaei
;
Yuan Xue
;
Chengmo Yang
;
Pandurangi Archana
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
40.
Energy efficient task scheduling on a multi-core platform using real-time energy measurements
机译:
使用实时能量测量的多核平台上节能任务调度
作者:
Singh Digvijay
;
Kaiser William J.
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Energy aware scheduler;
Energy efficiency;
Resource contention;
41.
Energy-efficient dot product computation using a switched analog circuit architecture
机译:
使用交换模拟电路架构的节能点产品计算
作者:
Nahlus Ihab
;
Kim Eric P.
;
Shanbhag Naresh R.
;
Blaauw David
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Dot product;
Low-power;
Mixed-signal;
Switched analog circuit;
42.
Ultra-low voltage mixed TFET-MOSFET 8T SRAM cell
机译:
超低电压混合TFET-MOSFET 8T SRAM单元
作者:
Yin-Nien Chen
;
Ming-Long Fan
;
Hu Vita Pi-Ho
;
Pin Su
;
Ching-Te Chuang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
TFET SRAMs;
Tunnel FET;
ultra-low power;
ultra-low voltage;
43.
Variation tolerant design of a vector processor for recognition, mining and synthesis?
机译:
识别,采矿与合成矢量处理器的变异耐受设计?
作者:
Kozhikkottu Vivek
;
Venkataramani Swagath
;
Raghunathan Anand
;
Dey Sujit
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
HW/SW co-design;
Variation Aware Design;
Variation Tolerance;
Variations;
Vector Processors;
44.
A model for array-based approximate arithmetic computing with application to multiplier and squarer design
机译:
基于阵列的近似算术计算模型,应用于乘法器和方块设计
作者:
Botang Shao
;
Peng Li
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
45.
Therminator: A thermal simulator for smartphones producing accurate chip and skin temperature maps
机译:
Therminator:用于智能手机的热模拟器,生产精确的芯片和皮肤温度图
作者:
Qing Xie
;
Dousti Mohammad Javad
;
Pedram Massoud
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
CFD;
Smartphones;
embedded systems;
skin temperature;
temperature maps simulator;
thermal management;
thermal modeling;
46.
Performance modeling for emerging interconnect technologies in CMOS and beyond-CMOS circuits
机译:
CMOS及超越CMOS电路中新兴互连技术的性能模型
作者:
Sou-Chi Chang
;
Ceyhan Ahmet
;
Kumar Vachan
;
Naeemi Azad
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Interconnects;
carbon nanotubes (CNTs);
graphene nanoribbons (GNRs);
spin injection;
spin transport;
spin-torques;
47.
Content-driven memory pressure balancing and video memory power management for parallel High Efficiency Video Coding
机译:
用于并行高效视频编码的内容驱动的内存压力平衡和视频存储电源管理
作者:
Sampaio Felipe
;
Shafique Muhammad
;
Zatt Bruno
;
Bampi Sergio
;
Henkel Jorg
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
HEVC;
On-chip memory;
adaptivity;
application-specific optimization;
low-power;
memory pressure reduction;
power management;
48.
Synergistic circuit and system design for energy-efficient and robust domain wall caches
机译:
节能和强大的域墙高速缓存协同电路和系统设计
作者:
Motaman Seyedhamidreza
;
Iyengar Anirudh
;
Ghosh Swaroop
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Design Domain wall memory;
cache segregation;
shift power;
synergistic systems;
49.
Design and CAD methodologies for low power gate-level monolithic 3D ICs
机译:
低功率门级整体三维IC的设计与CAD方法
作者:
Panth Shreepad
;
Samadi Kambiz
;
Yang Du
;
Sung Kyu Lim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Monolithic 3D;
Timing Closure;
50.
Sleep-Aware Variable partitioning for energy-efficient hybrid PRAM and DRAM main memory
机译:
睡眠感知可变分区,用于节能混合峰和DRAM主存储器
作者:
Chenchen Fu
;
Mengying Zhao
;
Xue Chun Jason
;
Orailoglu Alex
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
51.
Empirically derived abstractions in uncore power modeling for a server-class processor chip
机译:
服务器类处理器芯片未能电源建模中的经验派生抽象
作者:
Jacobson Hans
;
Joseph Arun
;
Parikh Dharmesh
;
Bose Pradip
;
Buyuktosunoglu Alper
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Energy-efficient design;
levels of abstraction;
power modeling;
power proxy;
speed-accuracy trade-offs;
52.
A case for leveraging 802.11p for direct phone-to-phone communications
机译:
用于直接电话通信的802.11p的案例
作者:
Pilsoon Choi
;
Gao Jason
;
Ramanathan Nadesh
;
Mengda Mao
;
Shipeng Xu
;
Chirn-Chye Boon
;
Fahmy Suhaib A.
;
Li-Shiuan Peh
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
53.
Dynamic thermal management for FinFET-based circuits exploiting the temperature effect inversion phenomenon
机译:
基于FinFET的电路动态热管理利用温度效应反转现象
作者:
Woojoo Lee
;
Yanzhi Wang
;
Tiansong Cui
;
Nazarian Shahin
;
Pedram Massoud
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
FinFET;
Low-power designs;
Thermal management;
54.
A bipolar ±40 mV self-starting boost converter with transformer reuse for thermoelectric energy harvesting
机译:
具有变压器重用的双极±40 MV自动启动升压转换器,用于热电能量收集
作者:
Desai Nachiket V.
;
Ramadass Yogesh K.
;
Chandrakasan Anantha P.
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Meissner oscillator;
bipolar input;
energy harvesting;
flux-commutation;
flyback converters;
thermoelectric generators;
55.
SBAC: A statistics based cache bypassing method for asymmetric-access caches
机译:
SBAC:非对称访问缓存的基于统计数据库绕过方法
作者:
Chao Zhang
;
Guangyu Sun
;
Peng Li
;
Tao Wang
;
Dimin Niu
;
Yiran Chen
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Asymmetric-access Cache;
Bypass;
Data Reuse Count;
Statistics;
56.
TONE: Adaptive temperature optimization for the next generation video encoders
机译:
音调:下一代视频编码器的自适应温度优化
作者:
Palomino Daniel
;
Shafique Muhammad
;
Susin Altamiro
;
Henkel Jorg
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
HEVC;
Thermal management;
temperature;
57.
Quasi-resonant clocking: A run-time control approach for true voltage-frequency-scalability
机译:
准谐振时钟:真正电压 - 频率可伸缩性的运行时间控制方法
作者:
Sathe Visvesh
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
58.
Intelligent frame refresh for energy-aware display subsystems in mobile devices
机译:
智能帧刷新移动设备中的能量感知显示子系统
作者:
Yongbing Huang
;
Mingyu Chen
;
Lixin Zhang
;
Shihai Xiao
;
Junfeng Zhao
;
Zhulin Wei
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Frame Refresh;
LCD;
Low Power;
Mobile Device;
59.
Algorithms for power-efficient QoS in application specific NoCs
机译:
应用特定NOC中的功率高效QoS的算法
作者:
Hao He
;
Gongming Yang
;
Jiang Hu
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Networks-on-Chip;
Quality-of-Service;
power-efficiency;
60.
Adaptive front-end throttling for superscalar processors
机译:
用于超高级处理器的自适应前端节流
作者:
Wei Zhang
;
Hang Zhang
;
Lach John
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
adaptive hardware;
energy;
fetch throttling;
instruction delivery;
instruction-level parallelism (ILP);
low power;
61.
eDRAM-based Tiered-Reliability Memory with applications to low-power frame buffers
机译:
基于EDRAM的分层可靠性内存,具有应用于低功耗帧缓冲器的应用程序
作者:
Kyungsang Cho
;
Yongjun Lee
;
Oh Young H.
;
Gyoo-cheol Hwang
;
Lee Jae W.
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Error tolerance;
Frame buffer;
Low power;
Refresh;
eDRAM;
62.
Thermal-aware layout planning for heterogeneous datacenters
机译:
异构数据中心的热感知布局规划
作者:
Azimi Reza
;
Xin Zhan
;
Reda Sherief
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Energy efficiency;
cooling power;
heterogeneous datacenters;
63.
Reconfigurable regenerator-based interconnect design for ultra-dynamic-voltage-scaling systems
机译:
用于超动态电压缩放系统的可重新配置的基于再生器的互连设计
作者:
Seongjong Kim
;
Mingoo Seok
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
UDVS;
ULV;
Ultra-dynamic-voltage-scaling;
interconnect;
regenerator;
repeater;
ultra-low-voltage;
64.
Tunnel FET-based ultra-low power, low-noise amplifier design for bio-signal acquisition
机译:
基于隧道FET的超低功耗,低噪声放大器设计用于生物信号采集
作者:
Huichu Liu
;
Datta Suman
;
Shoaran Mahsa
;
Schmid Alexandre
;
Xueqing Li
;
Narayanan Vijaykrishnan
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Biomedical signal processing;
Low-noise amplifier;
Neural signal recording;
Steep subthreshold slope;
Tunnel FETs;
Ultra-low power analog design;
65.
DR. Swap: Energy-efficient paging for smartphones
机译:
博士。交换:智能手机节能分页
作者:
Kan Zhong
;
Xiao Zhu
;
Tianzheng Wang
;
Dan Zhang
;
Xianlu Luo
;
Duo Liu
;
Weichen Liu
;
Sha Edwin H.-M
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Swapping;
energy;
non-volatile memory;
paging;
smartphone;
66.
Unified embedded non-volatile memory for emerging mobile markets
机译:
用于新兴移动市场的统一嵌入式非易失性存储器
作者:
Kangho Lee
;
Kan Jimmy J.
;
Kang Seung H.
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
FRAM;
Internet of Things;
Non-volatile memory;
RRAM;
STT-MRAM;
connectivity;
eFlash;
67.
EcoLaser: An adaptive laser control for energy-efficient on-chip photonic interconnects
机译:
ECOLASER:用于节能片上光子互连的自适应激光控制
作者:
Demir Yigit
;
Hardavellas Nikos
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Adaptive Laser Control;
Nanophotonic Interconnection Networks;
68.
An on-chip autonomous thermoelectric energy management system for energy-efficient active cooling
机译:
用于节能主动冷却的片上的自主电能管理系统
作者:
Alexandrov Borislav
;
Ahmed Khondker Z.
;
Mukhopadhyay Saibal
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Boost Regulator;
Energy Efficiency;
Energy Harvesting;
Programmable current source;
Thermoelectric Cooling (TEC);
69.
Fast photovoltaic array reconfiguration for partial solar powered vehicles
机译:
适用于部分太阳能动力车辆的快速光伏阵列重新配置
作者:
Jaemin Kim
;
Yanzhi Wang
;
Pedram Massoud
;
Naehyuck Chang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
70.
Software canaries: Software-based path delay fault testing for variation-aware energy-efficient design
机译:
软件金丝诗:基于软件的路径延迟故障测试,了解变异感知节能设计
作者:
Sartori John
;
Kumar Rakesh
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
71.
SPINDLE: SPINtronic Deep Learning Engine for large-scale neuromorphic computing
机译:
主轴:用于大型神经形态计算的旋转深层学习引擎
作者:
Ramasubramanian Shankar Ganesh
;
Venkatesan Rangharajan
;
Sharad Mrigank
;
Roy Kaushik
;
Raghunathan Anand
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Emerging Devices;
Nanoelectronics;
Neural Networks;
Neuromorphic Computing;
Post-CMOS;
Spintronics;
72.
Aging mitigation of power supply-connected batteries
机译:
电源连接电池老化缓解
作者:
Jaemin Kim
;
Probstl Alma
;
Chakraborty Samarjit
;
Naehyuck Chang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
73.
An energy-efficient 2.5D through-silicon interposer I/O with self-adaptive adjustment of output-voltage swing
机译:
具有自适应输出电压摆幅的能量效率的2.5D直通硅插入器I / O
作者:
Dongjun Xu
;
Sai Manoj P.D.
;
Hantao Huang
;
Ningmei Yu
;
Hao Yu
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
2.5D integration;
I/O Channel controller;
Q-learning;
TSI I/O;
output-voltage swing tuning;
74.
Making B+-tree efficient in PCM-based main memory
机译:
在基于PCM的主存储器中制作B
+ sup> -tree高效
作者:
Ping Chi
;
Wang-Chien Lee
;
Yuan Xie
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
B;
-Tree;
Database;
Phase Change Memory;
75.
Timing errors in LDPC decoding computations with overscaled supply voltage
机译:
具有过性电源电压的LDPC解码计算中的定时误差
作者:
Sedighi Behnam
;
Anthapadmanabhan N. Prasanth
;
Suvakovic Dusan
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Arithmetic and signal processing circuits;
Computation errors;
Error-resilience;
Noisy decoder;
Voltage over-scaling;
76.
Approximate Compressed Sensing: Ultra-low power biosignal processing via aggressive voltage scaling on a hybrid memory multi-core processor
机译:
近似压缩传感:通过混合存储器多核处理器上的侵蚀电压缩放的超低功耗生物处理
作者:
Bortolotti Daniele
;
Mamaghanian Hossein
;
Bartolini Andrea
;
Ashouei Maryam
;
Stuijt Jan
;
Atienza David
;
Vandergheynst Pierre
;
Benini Luca
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Approximate Computing;
Compressed Sensing;
Hybrid Memory;
Ultra-Low Power;
77.
2.3 ppm/°C 40 nW MOSFET-only voltage reference
机译:
2.3 PPM /°C 40 NW MOSFET的电压参考
作者:
Mattia Oscar E.
;
Klimach Hamilton
;
Bampi Sergio
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
CMOS Analog Design;
Nano-Power;
Resistorless Reference;
Voltage Reference;
78.
Energy harvesting from anti-corrosion power sources
机译:
防腐电源的能量收集
作者:
SeHwan Kim
;
Minseok Lee
;
Chou Pai H.
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Energy harvesting;
cathodic protection systems;
galvanic corrosion;
79.
CASA: Correlation-aware speculative adders
机译:
CASA:相关感知的投机添加剂
作者:
Gai Liu
;
Ye Tao
;
Mingxing Tan
;
Zhiru Zhang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Low Error Rates;
Low Power;
Speculative Adders;
80.
Tag Check Elision
机译:
标签检查elision.
作者:
Zhong Zheng
;
Zhiying Wang
;
Lipasti Mikko
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
81.
AxNN: Energy-efficient neuromorphic systems using approximate computing
机译:
AXNN:使用近似计算的节能神经形态系统
作者:
Venkataramani Swagath
;
Ranjan Ashish
;
Roy Kaushik
;
Raghunathan Anand
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Approximate Computing;
Energy Efficiency;
Large-scale Neural Networks;
Neuromorphic Systems;
82.
Enabling high-performance LPDDRx-compatible MRAM
机译:
启用高性能LPDDRX兼容的MRAM
作者:
Jue Wang
;
Xiangyu Dong
;
Yuan Xie
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
LPDDR;
MRAM;
spin-transfer torque;
83.
Efficient NBTI modeling technique considering recovery effects
机译:
考虑恢复效应的高效NBTI建模技术
作者:
Eilers Reef
;
Metzdorf Malte
;
Helms Domenik
;
Nebel Wolfgang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Aging;
CET map;
Mission scenarios;
NBTI;
Reliability;
84.
Buffered clock tree synthesis considering self-heating effects
机译:
考虑自加热效应缓冲时钟树合成
作者:
Chung-Wei Lin
;
Tzu-Hsuan Hsu
;
Xin-Wei Shih
;
Yao-Wen Chang
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2014年
关键词:
Clock tree synthesis;
clock skew;
self-heating;
thermal;
85.
MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks
机译:
记忆:用于生成对冲网络的硬件架构中复杂计算的内存管理
作者:
Muhammad Abdullah Hanif
;
Muhammad Zuhaib Akbar
;
Rehan Ahmed
;
Semeen Rehman
;
Axel Jantsch
;
Muhammad Shafique
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Registers;
Convolution;
Memory management;
System-on-chip;
Arrays;
Microarchitecture;
Clocks;
86.
A Logic Compatible 4T Dual Embedded DRAM Array for In-Memory Computation of Deep Neural Networks
机译:
用于深神经网络的内存计算的逻辑兼容的4T双嵌入式DRAM阵列
作者:
Taegeun Yoo
;
Hyunjoon Kim
;
Qian Chen
;
Tony Tae-Hyoung Kim
;
Bongjin Kim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Random access memory;
Transistors;
Memory management;
MOS devices;
Power demand;
Standards;
87.
Non-Volatile Memory utilizing Reconfigurable Ferroelectric Transistors to enable Differential Read and Energy-Efficient In-Memory Computation
机译:
利用可重构铁电晶体管的非易失性存储器,以实现差分读取和节能内存计算
作者:
Sandeep Krishna Thirumala
;
Shubham Jain
;
Anand Raghunathan
;
Sumeet Kumar Gupta
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Nonvolatile memory;
Logic gates;
Transistors;
Standards;
Computer architecture;
Sensors;
Mathematical model;
88.
Tier Partitioning and Flip-flop Relocation Methods for Clock Trees in Monolithic 3D ICs
机译:
单片3D IC中时钟树的层划分和触发器重定位方法
作者:
Da Eun Shim
;
Sai Pentapati
;
Jeehyun Lee
;
Yun Seop Yu
;
Sung Kyu Lim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Clocks;
Three-dimensional displays;
Integrated circuits;
Two dimensional displays;
Clustering algorithms;
Optimization;
Benchmark testing;
89.
Comparative evaluation of Body Biasing and Voltage Scaling for Low-Power Design on 28nm UTBB FD-SOI Technology
机译:
28NM UTBB FD-SOI技术对低功率设计体偏置和电压缩放的比较评价
作者:
Ricardo Gomez Gomez
;
Edwige Bano
;
Sylvain Clerc
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Degradation;
Temperature measurement;
Aging;
Power demand;
Voltage measurement;
Tuning;
Limiting;
90.
MessageFusion: On-path Message Coalescing for Energy Efficient and Scalable Graph Analytics
机译:
MessageFusion:用于节能和可扩展图分析的路径消息
作者:
Leul Belayneh
;
Abraham Addisie
;
Valeria Bertacco
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Computer architecture;
Energy consumption;
Hardware;
Heuristic algorithms;
Scheduling;
Prefetching;
Computational modeling;
91.
A Low-Energy Inductive Transceiver using Spike-Latency Encoding for Wireless 3D Integration
机译:
一种低能量电感收发器,使用无线3D集成的峰值延迟编码
作者:
Benjamin J. Fletcher
;
Shidhartha Das
;
Terrence Mak
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Transceivers;
Encoding;
Three-dimensional displays;
Couplings;
Inductors;
Optical signal processing;
Mathematical model;
92.
A Sound Activity Detector Embedded Low-Power MEMS Microphone Readout Interface for Speech Recognition
机译:
声音活动检测器嵌入式低功耗MEMS麦克风读数界面进行语音识别
作者:
Youngtae Yang
;
Jun SooCho
;
Byunggyu Lee
;
Suhwan Kim
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Micromechanical devices;
Microphones;
Speech recognition;
Transducers;
Power demand;
Clocks;
Detectors;
93.
HR3AM: A Heat Resilient Design for RRAM-based Neuromorphic Computing
机译:
HR
3 SUP> AM:用于RRAM的神经形态计算的热弹性设计
作者:
Xiao Liu
;
Mingxuan Zhou
;
Tajana S. Rosing
;
Jishen Zhao
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Observers;
Testing;
Consensus algorithm;
Random variables;
Sensor fusion;
Cost function;
94.
FLASH: Content-based Power-saving Design for Scrolling Operations in Browser Applications on Mobile OLED Devices
机译:
Flash:基于内容的省电设计,用于移动OLED设备上的浏览器应用中的滚动操作
作者:
Hao-Chun Chang
;
Yu-Chieh Yang
;
Liang-Yan Yu
;
Chun-Han Lin
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Image color analysis;
Web pages;
Organic light emitting diodes;
Power demand;
User experience;
Browsers;
Mobile handsets;
95.
A Design Framework for Thermal-Aware Power Delivery Network in 3D MPSoCs with Integrated Flow Cell Arrays
机译:
具有集成流动单元阵列的3D MPSOC热感知电力传递网络的设计框架
作者:
Halima Najibi
;
Alexandre Levisse
;
Marina Zapater
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Three-dimensional displays;
Through-silicon vias;
Solid modeling;
Power generation;
Analytical models;
Optimization;
Logic gates;
96.
Towards a Complete Methodology for Synthesizing Bundled-Data Asynchronous Circuits on FPGAs
机译:
朝着用于在FPGA上综合捆绑数据异步电路的完整方法
作者:
Kshitij Bhardwaj
;
Paolo Mantovani
;
Luca P. Carloni
;
Steven M. Nowick
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Robots;
Silicon;
Heuristic algorithms;
Probabilistic logic;
Dynamic programming;
Gaussian processes;
Collision avoidance;
97.
Automatic GDSII Generator for On-Chip Voltage Regulator for Easy Integration in Digital SoCs
机译:
用于片上电压调节器的自动GDSII发生器,用于轻松集成数字SOC
作者:
Venkata Chaitanya Krishna Chekuri
;
Nihar Dasari
;
Arvind Singh
;
Saibal Mukhopadhyay
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
Layout;
Voltage control;
Optimization;
Regulators;
Tools;
Physical design;
System-on-chip;
98.
RAPID: A ReRAM Processing in-Memory Architecture for DNA Sequence Alignment
机译:
快速:用于DNA序列对齐的内存架构的RERAM处理
作者:
Saransh Gupta
;
Mohsen Imani
;
Behnam Khaleghi
;
Venkatesh Kumar
;
Tajana Rosing
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
DNA;
Computer architecture;
Parallel processing;
Sequential analysis;
Dynamic programming;
Biological cells;
Acceleration;
99.
A Probabilistic Approach to Energy-Constrained Mixed-Criticality Systems
机译:
能量受限混合关键系统的概率方法
作者:
Federico Reghenzani
;
Giuseppe Massari
;
William Fornaciari
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
PD control;
Transient analysis;
Shape;
Tuning;
Delays;
Attenuation;
Measurement uncertainty;
100.
3DTUBE: A Design Framework for High-Variation Carbon Nanotube-based Transistor Technology
机译:
3DTube:基于高变碳纳米管的晶体管技术设计框架
作者:
Aporva Amarnath
;
Javad Bagherzadeh
;
Jielun Tan
;
Ronald G. Dreslinski
会议名称:
《IEEE/ACM International Symposium on Low Power Electronics and Design》
|
2019年
关键词:
CNTFETs;
Delays;
Manufacturing processes;
Standards;
Reliability;
Silicon;
意见反馈
回到顶部
回到首页