掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
电子学、通信
>
Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)
Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)
召开年:
召开地:
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
相关中文期刊
通信世界
世界专业音响与灯光
电脑与电信
电视技术
无线电
中国电子科学研究院学报
信息网络安全
电子机械工程
空间电子技术
现代传输
更多>>
相关外文期刊
Proceedings of the IEE - Part C: Monographs
Electronics & Communication Engineering Journal
Journal of power electronics
Vision Systems Design
Telematics and Informatics
International journal of communication systems
Proceedings of the IEE - Part IIIA: Television
International journal of electronics
Western Europe Telecommunications Insight
Advanced Functional Materials
更多>>
相关中文会议
2004中国(上海)国际半导体照明论坛
2005年上海博士后论坛——电子信息分论坛
2008世界通信大会中国射频通信分论坛
第八届中国密码学学术会议
2004年全国通信软件技术学术年会
中国电影电视技术学会节目制作与传输专业委员会第21届(2009陕西)年会
2009四川省电子学会半导体与集成技术专委会学术年会
第九届高功率粒子束学术交流会
2007年中国数字电视与网络发展高峰论坛暨第十五届全国有线电视综合信息网学术研讨会
第十一届全国电子束、离子束、光子束学术年会
更多>>
相关外文会议
QoS and security for wireless and mobile networks
IEEE(Institute of Electrical and Electronics Engineers) International Frequency Control Symposium and Exhibition; 20050829-31; Vancouver(CA)
2016 IEEE 2nd Australian Microwave Symposium
Advances in Display Technologies III
Conference on Optical Fiber Communication - incudes post deadline papers, 2009. OFC 2009
Symposium Organic/Inorganic Hybrid Materials II held April 5-9, 1999, San Francisco, California, U.S.A.
Third International Symposium on Defects in Silicon, held during the 195th Meeting of The Electrochemical Society in Seattle, Washington, from May 2-7, 1999.
2014 9th International Symposium on Reconfigurable and Communication-Centric Systems-on-Chip
Micromachining Technology for Micro-Optics and Nano-Optics IV
Conference on Laser Interferometry X: Applications 2-3 August 2000 San Diego, USA
更多>>
热门会议
Meeting of the internet engineering task force;IETF
日本建築学会;日本建築学会大会
日本建築学会(Architectural Institute of Japan);日本建築学会年度大会
日本建築学会学術講演会;日本建築学会
日本建築学会2010年度大会(北陸)
Korean Society of Noise & Vibration Control;Institute of Noise Control Engineering;International congress and exposition on noise control engineering;ASME Noise Control & Acoustics Division
土木学会;土木学会全国大会年次学術講演会
応用物理学会秋季学術講演会;応用物理学会
総合大会;電子情報通信学会
The 4th International Conference on Wireless Communications, Networking and Mobile Computing(第四届IEEE无线通信、网络技术及移动计算国际会议)论文集
更多>>
最新会议
2011 IEEE Cool Chips XIV
International workshop on Java technologies for real-time and embedded systems
Supercomputing '88. [Vol.1]. Proceedings.
RILEM Proceedings PRO 40; International RILEM Conference on the Use of Recycled Materials in Buildings and Structures vol.1; 20041108-11; Barcelona(ES)
International Workshop on Hybrid Metaheuristics(HM 2007); 20071008-09; Dortmund(DE)
The 57th ARFTG(Automatic RF Techniques Group) Conference, May 25, 2001, Phoenix, AZ
Real Time Systems Symposium, 1989., Proceedings.
Conference on Chemical and Biological Sensing V; 20040412-20040413; Orlando,FL; US
American Filtration and Separations Society conference
Combined structures congress;North American steel construction conference;NASCC
更多>>
全选(
0
)
清除
导出
1.
Low Activation Energy Fullerene Molecular Resist
机译:
低活化能富勒烯分子抗蚀剂
作者:
J. Manyam
;
M. Manickam
;
J.A. Preece
;
R.E. Palmer
;
A.P.G. Robinson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
electron beam lithography;
molecular resist;
fullerene;
chemically amplified resist;
2.
Feasibility study of non-topcoat resist for 22nm node devices
机译:
非表面涂层抗蚀剂用于22nm节点器件的可行性研究
作者:
Koutaro Sho
;
Hirokazu Kato
;
Katsutoshi Kobayashi
;
Kazunori Iida
;
Tomoya Ori
;
Daizo Muto
;
Tsukasa Azuma
;
Shinichi Ito
;
Tomoharu Fujiwara
;
Yuuki Ishii
;
Yukio Nishimura
;
Takanori Kawakami
;
Motoyuki Shima
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
immersion process;
non-topcoat resist;
hydrophobic additive;
defect;
3.
Advanced Immersion Contact Hole Patterning for sub 40nm Memory Applications - A Fundamental Resist Study
机译:
低于40nm存储器应用的高级浸入式接触孔构图-基本抵抗研究
作者:
Yun-Kyeong Jang
;
Jin-Young Yoon
;
Shi-Yong Lee
;
Kwang-Sub Yoon
;
Seok-Hwan OH
;
Seong-Woon Choi
;
Woo-Sung Han
;
Seokho Kang
;
Thomas Penniman
;
Duk-Soo Kim
;
Dong Won Chung
;
Sung-Seo Cho
;
Cheng Bai Xu
;
George Barclay
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
contact hole;
acid diffusion;
iso-focal region;
depth of focus;
exposure latitude;
4.
High-Si content BARC for dual-BARC systems such as trilayer patterning
机译:
用于双BARC系统的高硅含量BARC,例如三层图案
作者:
Joseph Kennedy
;
Song-Yuan Xie
;
Ze-Yu Wu
;
Ron Katsanes
;
Kyle Flanigan
;
Kevin Lee
;
Mark Slezak
;
Zhi Liu
;
Shang-Ho Lin
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
bottom antireflective coating;
dual BARC system;
tri-layer patterning;
middle layer;
etch transfer layer;
organosiloxane;
siloxane;
SiBARC;
5.
Progress Towards Production Worthy Developable BARCs (DBARCs)
机译:
生产值得开发的BARC(DBARC)的进展
作者:
James Cameron
;
John Amara
;
Greg Prokopowicz
;
Jin Wuk Sung
;
David Valeri
;
Adam Ware
;
Kevin OShea
;
Yoshihiro Yamamoto
;
Tomoki Kurihara
;
Libor Vyklicky
;
Wu-Song Huang
;
Irene Popova
;
Pushkara Rao Varanasi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
developable BARC;
DBARC;
implant;
non-implant;
reflection control;
ArF;
KrF;
immersion;
lithography;
6.
Sub-20 nm Trench Patterning with a Hybrid Chemical Shrink and SAFIER Process
机译:
采用混合化学收缩和SAFIER工艺的20纳米以下沟槽图案
作者:
Yijian Chen
;
Xumou Xu
;
Hao Chen
;
Liyan Miao
;
Pokhui Blanco
;
Man-Ping Cai
;
Chris S. Ngai
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
SAFIER;
chemical shrink;
CD uniformity (CDU);
line-edge roughness (LER);
depth of focus (DOF);
7.
Hybrid Resist Systems Based on α-Substituted Acrylate Copolymers
机译:
基于α-取代丙烯酸酯共聚物的混合抗性体系
作者:
Hiroshi Ito
;
Linda K. Sundberg
;
Luisa Bozano
;
Elizabeth M. Lofano
;
Kazuhiro Yamanaka
;
Yoshiharu Terui
;
Masaki Fujiwara
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
hybrid resists;
electron-beam resists;
main chain scission;
chemical amplification;
deprotection;
t-butyl methacrylate;
t-butyl α-fluoroacrylate;
t-butyl α-trifluoromethylacrylate;
α-methylstyrene;
α-methyleneindane;
α-methylenetetralin;
quartz crys;
8.
Contact Analysis Studies of an ESCAP resist with scCO_2 Compatible Additives
机译:
具有scCO_2兼容添加剂的ESCAP抗蚀剂的接触分析研究
作者:
Abhinav Rastogi
;
Gregory N. Toepperwein
;
Manabu Tanaka
;
Robert A. Riggleman
;
Juan J. de Pablo
;
Christopher K. Ober
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
supercritical carbon dioxide;
environmentally friendly;
quaternary ammonium salts (QAS);
ESCAP;
EUV;
e-beam lithography;
contact analysis;
9.
Feasibility studies of coating method for planarization process
机译:
平面化工艺中涂层方法的可行性研究
作者:
Kentaro Matsunaga
;
Tomoya Oori
;
Hirokazu Kato
;
Eishi Shiobara
;
Makoto Muramatsu
;
Mitsuaki Iwashita
;
Takahiro Kitano
;
Yusuke Horiguchi
;
Tomoya Ohashi
;
Satoshi Takei
;
Shinichi Ito
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
dual damascene;
planarization;
bottom antireflective coatings;
UV crosslink materials;
thickness bias;
focus center bias;
10.
Study of Residue Type Defect Formation Mechanism and Effect of Advanced Defect Reduction (ADR) Rinse Process
机译:
残留物类型缺陷形成机理及高级缺陷减少(ADR)冲洗过程效果的研究
作者:
Hiroshi Arima
;
Yuichi Yoshida
;
Kousuke Yoshihara
;
Tsuyoshi Shibata
;
Yuki Kushida
;
Hiroki Nakagawa
;
Yukio Nishimura
;
Yoshikazu Yamaguchi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
residue type defect;
development process;
advanced defect reduction (ADR);
11.
Calibration of Physical Resist Models: Methods, Usability, and Predictive Power
机译:
物理抵抗模型的校准:方法,可用性和预测能力
作者:
U. K. Klostermann
;
T. Muelders
;
D. Ponomarenco
;
T. Schmoeller
;
J. Van de Kerkhove
;
P. De Bisschop
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
resist model calibration;
lithography simulation;
Sentaurus Lithography;
resist model validation;
OPC;
12.
Simulation of optical lithography in the presence of topography and spin-coated films
机译:
在形貌和旋涂膜存在下的光学光刻模拟
作者:
Stewart A. Robertson
;
Michael T. Reilly
;
Trey Graves
;
John J. Biafore
;
Mark D. Smith
;
Damien Perret
;
Vladimir Ivin
;
Sergey Potashov
;
Mikhail Silakov
;
Nikolay Elistratov
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
topography;
spincoat;
lithography simulation;
13.
Fluorinated Polymethacrylates as Highly Sensitive Non-chemically Amplified e-beam Resists
机译:
含氟聚甲基丙烯酸酯,可抵抗高灵敏度的非化学放大电子束
作者:
Jeffrey R. Strahan
;
Jacob R. Adams
;
Wei-Lun Jen
;
Anja Vanleenhove
;
Colin C. Neikirk
;
Timothy Rochelle
;
Roel Groneid
;
C. Grant Willson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
e-beam;
PMMA;
ZEP;
G(s);
G(x);
and EUV;
14.
Improvements in process performance for immersion technology high volume manufacturing
机译:
浸入技术大批量生产过程性能的改进
作者:
K. Nafus
;
T. Shimoaoki
;
M. Enomoto
;
H. Shite
;
T. Otsuka
;
H. Kosugi
;
T. Shibata
;
J. Mallmann
;
R. Maas
;
C. Verspaget
;
E. van der Heijden
;
E. van Setten
;
J. Finders
;
S. Wang
;
N. Boudou
;
C. Zoldesi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
15.
Characteristic three-dimensional structure of resist's distribution after drying a resist solution coated on a flat substrate : analysis using the extended dynamical model of the drying process
机译:
干燥涂布在平坦基材上的抗蚀剂溶液后,抗蚀剂分布的特征三维结构:使用扩展的干燥过程动力学模型进行分析
作者:
Hiroyuki Kagami
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
16.
Polymer Dissolution Model: An Energy Adaptation of the Critical Ionization Theory
机译:
聚合物溶解模型:临界电离理论的能量适应
作者:
Siddharth Chauhan
;
Mark Somervell
;
Steven Scheer
;
Chris Mack
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
critical ionization model;
photoresist dissolution;
mesoscale modeling;
17.
CDU Improvement with Wafer Warpage Control Oven for High Volume Manufacturing
机译:
晶圆翘曲控制烤箱可提高CDU的产量
作者:
T. Tomita
;
H. Weichert
;
S.Hornig
;
S.Trepte
;
H. Shite
;
R. Uemura
;
J. Kitano
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
immersion;
45nm;
CDU;
wafer warpage;
18.
Resist fundamentals for resolution, LER and sensitivity (RLS) performance tradeoffs and their relation to micro-bridging defects
机译:
抵抗分辨率,LER和灵敏度(RLS)性能折衷以及与微桥缺陷的关系的基础
作者:
Benjamen Rathsack
;
Kathleen Nafus
;
Shinichi Hatakeyama
;
Yuhei Kuwahara
;
Junichi Kitano
;
Roel Gronheid
;
Alessandro Vaglio Pret
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
lithography simulation;
resolution;
LER;
resist sensitivity;
micro-bridging;
19.
Molecular Glass Resists Developable in Supercritical Carbon Dioxide for 193 nm Lithography
机译:
可在超临界二氧化碳中用于193 nm平版印刷的分子玻璃抗蚀剂。
作者:
Jing Sha
;
Jin-Kyun Lee
;
Christopher K. Ober
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
molecular glass resist;
supercritical carbon dioxide;
193-nm lithography;
20.
Lithographic Evaluation and Chemical Modeling of Acid Amplifiers used in EUV Photoresists
机译:
EUV光致抗蚀剂中使用的酸放大器的光刻评估和化学建模
作者:
Robert Brainard
;
Seth Kruger
;
Srividya Revuru
;
Brian Cardineau
;
Sarah Gibbons
;
Dan Freedman
;
Harun Solak
;
Wang Yueh
;
Todd Younkin
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
acid amplifiers;
EUV;
photoresists;
Z-parameter;
21.
Resist materials design to improve sensitivity in EUV lithography
机译:
抵抗材料设计以提高EUV光刻的灵敏度
作者:
Hideaki Tsubaki
;
Tooru Tsuchihashi
;
Katsuhiro Yamashita
;
Tomotaka Tsuchimura
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
EUV lithography;
chemically amplified resist;
sensitivity;
photoacid generator;
polymer matrix;
22.
Line Edge Roughness transfer during plasma etching: Modeling approaches and comparison with experimental results
机译:
等离子刻蚀过程中线边缘粗糙度的转移:建模方法和与实验结果的比较
作者:
Vassilios Constantoudis
;
George Kokkoris
;
Panayiota Xydi
;
Evangelos Gogolides
;
Erwine Pargon
;
Mickael Martin
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
23.
Image Reversal Trilayer Process Using Standard Positive Photoresist
机译:
使用标准正性光刻胶的图像反转三层工艺
作者:
David J. Abdallah
;
John Sagan
;
Kazunori Kurosawa
;
Jin Li
;
Yusuke Takano
;
Yasuo Shimizu
;
Ninad Shinde
;
Tatsuro Nagahara
;
Tomonori Ishikawa
;
Ralph R. Dammel
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
image reversal;
reactive ion etching;
trilayer;
etch back;
reverse tone;
24.
Non-CA Resists for 193 nm Immersion Lithography: Effects of Chemical Structure on Sensitivity
机译:
193 nm浸没式光刻技术的非CA抗蚀剂:化学结构对灵敏度的影响
作者:
Idriss Blakey
;
Emil Piscani
;
Yong-Keng Goh
;
Kirsten Lawrie
;
Ya-mi Chuang
;
Emil Piscani
;
Paul A. Zimmerman
;
Andrew K. Whittaker
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
non-chemically amplified resists;
non-CAR;
193 nm immersion lithography;
polysulfone;
25.
Chalcogenide glass thin film resists for grayscale lithography
机译:
硫属化物玻璃薄膜抗蚀剂,用于灰度光刻
作者:
A. Kovalskiy
;
J. Cech
;
C. L. Tan
;
W. R. Heffner
;
E. Miller
;
C. M. Waits
;
M. Dubey
;
W. Churaman
;
M. Vlcek
;
H. Jain
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chalcogenide glass;
photoresist;
grayscale;
lithography;
Fresnel lenses;
dry etching;
wet etching;
26.
Development of Novel positive-tone Resists for EUVL
机译:
研发用于EUVL的新型正性抗性
作者:
Takanori Owada
;
Akinori Yomogita
;
Takashi Kashiwamura
;
Toshiaki Kusaba
;
Shinji Miyamoto
;
Tetsuro Takeya
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
low molecular weight resist;
chemical amplified resist;
EB lithography;
EUV lithography;
27.
Line Edge and Width Roughness Dependency on Each Ingredient of Extreme Ultraviolet Molecular Resist
机译:
线边缘和宽度粗糙度对极端紫外线分子抗蚀剂每种成分的依赖性
作者:
Hyunsu Kim
;
In Wook Cho
;
Seong-Sue Kim
;
Han-Ku Cho
;
Hye-Keun Oh
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
line edge roughness (LER);
monomer size;
protected number;
molecular resist;
monte-carlo simulation;
28.
Theoretical analysis of development behavior of resist measured by QCM
机译:
QCM测量抗蚀剂显影行为的理论分析
作者:
Minoru Toriumi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
QCM;
resist;
dissolution;
swelling;
characteristic matrix methodc;
29.
Practical Implementation of Immersion Resist Materials
机译:
防浸材料的实际实施
作者:
Hamid Khorram
;
Katsushi Nakanob
;
Tomoharu Fujiwara
;
Yasuhiro Iriuchijiraa
;
Y. Ishii
;
Natsuko Sagawa
;
Tadamasa Kawakubo
;
Shiro Nagaoka
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
immersion;
top-coat;
hydrophobicity;
nozzle;
contact angle;
leaching;
peeling;
HMT;
30.
Effect of resist polymer molecular weight on EUV lithography
机译:
抗蚀剂聚合物分子量对EUV光刻的影响
作者:
Hideaki Tsubaki
;
Katsuhiro Yamashita
;
Hidenori Takahashi
;
Daisuke Kawamura
;
Toshiro Itani
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
EUV lithography;
chemically amplified resist;
polymer;
molecular weight;
photoacid generator;
31.
Quantitative measurement of resist outgassing during exposure
机译:
曝光过程中抗蚀剂脱气的定量测量
作者:
Nicolae Maxim
;
Frances A. Houle
;
Jeroen Huijbregtse
;
Vaughn R. Deline
;
Hoa Truong
;
Willem van Schaik
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
resist;
outgassing;
silicon;
UV exposure;
32.
Analysis of molecular resist distribution in a resist film by using X-ray reflectivity
机译:
利用X射线反射率分析抗蚀剂膜中的分子抗蚀剂分布
作者:
Jeongsik Kim
;
Jae-Woo Lee
;
Deogbae Kim
;
Jaehyun Kim
;
Sung-Il Ahn
;
Wang-Cheol Zin
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
molecular glass resists;
line width roughness (LWR);
line edge roughness (LER);
resolution;
x-ray reflectivity (XRR);
33.
PAG Segregation During Exposure Affecting Innate Material Roughness
机译:
暴露过程中PAG偏析影响先天材料的粗糙度
作者:
Theodore H. Fedynyshyn
;
David K. Astolfi
;
Alberto Cabral
;
Susan Cann
;
Indira Pottebaum
;
Jeanette M. Roberts
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
photoresist;
linewidth roughness;
PAG;
polymer;
34.
Reduction of Line Width and Edge Roughness by Resist Reflow Process for Extreme Ultra-Violet Lithography
机译:
极紫外光刻技术通过电阻回流工艺减少线宽和边缘粗糙度
作者:
In Wook Cho
;
Joon-Min Park
;
Hyunsu Kim
;
Joo-Yoo Hong
;
Seong-Sue Kim
;
Han-Ku Cho
;
Hye-Keun Oh
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
line width roughness;
line edge roughness;
surface roughness;
resist reflow process;
22 nm noder;
35.
Reducing LER using a grazing incidence ion beam
机译:
使用掠入射离子束降低LER
作者:
C.R.M. Struck
;
R. Raju
;
M. J. Neumann
;
D. N. Ruzic
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
line edge roughness;
ion beam;
EUV lithography;
photoresist;
36.
Theoretical analysis of energy degradation of electron in the resists
机译:
抗蚀剂中电子能量降解的理论分析
作者:
Minoru Toriumi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
resist;
EB lithography;
EUV lithography;
ionization;
excitation;
singlet;
triplet;
electron degradation;
37.
Stochastic Modeling in Lithography: Autocorrelation Behavior of Catalytic Reaction-Diffusion Systems
机译:
光刻中的随机建模:催化反应扩散系统的自相关行为
作者:
Chris A. Mack
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
line-edge roughness;
reaction-diffusion;
correlation length;
roughness exponent;
hurst exponent;
autocorrelation;
stochastic modeling;
38.
A Study of the Photo Acid Generator Material Design for Chemically Amplified Photoresists
机译:
化学增幅光刻胶光产酸剂材料设计的研究
作者:
Y. Utsumi
;
T. Seshimo
;
Y. Komuro
;
A. Kawaue
;
K. Isiduka
;
K. Matsuzawa
;
H.Hada
;
J. Onodera
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chemically amplified resist;
ArF lithography;
photo acid generator;
acid diffusion length;
acid diffusion coefficient (D);
39.
Characterization of the Photoacid Diffusion Length
机译:
光酸扩散长度的表征
作者:
Shuhui Kang
;
Vivek M. Prabhu
;
Wen-li Wu
;
Eric K. Lin
;
Kwang-Woo Choi
;
Manish Chandhok
;
Todd R. Younkin
;
Wang Yueh
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
photoacid diffusion length;
photoresist;
kinetics;
Fourier transform infrared spectroscopy;
poly(dimethylsiloxane);
40.
Correlation of EUV resist performance metrics in micro-exposure and full-field EUV projection tools
机译:
微曝光和全场EUV投影工具中EUV抗蚀剂性能指标的相关性
作者:
Thomas I. Wallow
;
Bill Pierson
;
Hiroyuki Mizuno
;
Anita Fumar-Pici
;
Karen Petrillo
;
Chris N. Anderson
;
Patrick P. Naulleau
;
Steven G. Hansen
;
Yunfei Deng
;
Koen van Ingen Schenau
;
Chiew-Seng Koay
;
Linda Ohara
;
Sang-In Han
;
Robert Watso
;
Lior Huli
;
Martin Burkhardt
;
Obert
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
photoresist;
EUV;
extreme ultraviolet;
resolution limit;
performance metric;
resolution metric;
blur;
41.
Elucidating the Physiochemical and Lithographic Behavior of Ultra-Thin Photoresist Films
机译:
阐明超薄光刻胶膜的理化和光刻行为
作者:
Annapoorani Sundaramoorthi
;
Todd R.Younkin
;
Clifford L. Henderson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chemically amplified resist;
photoacid generator;
glass transition temperature;
ionic;
non-ionic;
onium salt;
triflate;
naphthalimide;
perfluoro;
sulfonate;
thin film;
42.
Resist process control for 32-nm logic node and beyond with NA > 1.30 immersion exposure tool
机译:
使用NA> 1.30浸没曝光工具抵抗32纳米及以上逻辑节点的工艺控制
作者:
Seiji Nagahara
;
Kazuhiro Takahata
;
Seiji Nakagawa
;
Takashi Murakami
;
Kazuhiro Takeda
;
Shinpei Nakamura
;
Makoto Ueki
;
Masaki Satake
;
Tatsuhiko Ema
;
Hiroharu Fujise
;
Hiroki Yonemitsu
;
Yuriko Seino
;
Shinichiro Nakagawa
;
Masafumi Asano
;
Yosuke Kitamura
;
Takayuki Uchiyama
;
S
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
32-nm node logic;
low-k1 lithography;
ArF immersion lithography;
LWR;
2D-pattern deformation;
resist pattern collapse;
hole shrink;
acid diffusion control;
43.
Defect Reduction by using Point-of-use Filtration in a New Coater/Developer
机译:
通过在新涂布机/显影机中使用使用点过滤来减少缺陷
作者:
Toru Umeda
;
Shuichi Tsuzuki
;
Toru Numaguchi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
filter;
photoresist;
nylon 6,6;
point-of-use filtrationt;
44.
Gap-Fill Type HSQ/ZEP520A Bilayer Resist Process-(III) : Optimal Process Window for HSQ Air-Tip Formation
机译:
间隙填充型HSQ / ZEP520A双层抗蚀工艺-(III):HSQ气嘴形成的最佳工艺窗口
作者:
Wei-Su Chen
;
Ming-Jinn Tsai
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
contact hole;
e-beam lithography;
CD shrinkage;
thermal reflow;
HSQ;
gap-fill;
air-tip;
45.
Statistical simulation of resist at EUV and Arf
机译:
EUV和Arf处抗蚀剂的统计模拟
作者:
John J. Biafore
;
Mark D. Smith
;
Chris A. Mack
;
James W. Thackeray
;
Roel Gronheid
;
Stewart A. Robertson
;
Trey Graves
;
David Blankenship
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
line edge roughness;
stochastic modeling;
acid shot noise;
resist modeling;
computational lithography;
simulation;
photoresis;
46.
Position Shift Analysis in Resist Reflow Process for sub-50 nm Contact Hole
机译:
50 nm以下接触孔抗蚀剂回流工艺中的位置偏移分析
作者:
Jee-Hye You
;
Joonwoo Park
;
Joon-Min Park
;
Heejun Jeong
;
Hye-Keun Oh
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
resist reflow process;
Sub-50 nm CH;
position shift;
optical proximity correction;
overlay error;
47.
Mesoscale Kinetic Monte Carlo Simulations of Molecular Resists: The Effect on PAG Homogeneity on Resolution, LER, and Sensitivity
机译:
分子抵抗的中尺度动力学蒙特卡洛模拟:PAG均质性对分辨率,LER和灵敏度的影响
作者:
Richard A. Lawson
;
Clifford L. Henderson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chemically amplified photoresist;
mesoscale model;
stochastic model;
resolution;
sensitivity;
line edge roughness;
photoacid generator;
homogeneity;
aggregation;
48.
Single Component Molecular Resists Containing Bound Photoacid Generator Functionality
机译:
单组分分子抗蚀剂,具有束缚的光致产酸剂功能
作者:
Richard A. Lawson
;
Laren M. Tolbert
;
Clifford L. Henderson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chemically amplified photoresist;
molecular resist;
single molecule resist;
high PAG loading;
line edge roughness;
single component resist;
photoacid generator;
bound PAG molecular resist;
49.
Negative-Tone Molecular Resists Based on Cationic Polymerization
机译:
基于阳离子聚合的负音分子抗蚀剂
作者:
Richard A. Lawson
;
Laren M. Tolbert
;
Todd R. Younkin
;
Clifford L. Henderson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chemically amplified photoresist;
molecular resist;
line edge roughness;
high resolution lithography;
epoxide resist;
e-beam lithography;
cationic polymerization;
50.
Resist Roughness Bi-modality as Revealed by Two-dimensional FFT 2D Analysis
机译:
二维FFT 2D分析揭示的抗蚀剂粗糙度双峰
作者:
Yehiel Gotkis
;
Leonid Baranov
;
Theodore H. Fedynyshyn
;
Susan Cann
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
resists;
LER;
FFT 2D;
PSD analysis;
roughness bi-modality;
51.
Decomposition Analysis of Molecular Resists to further CD control
机译:
分子抗蚀剂的分解分析以进一步控制CD
作者:
Daiju Shiono
;
Hideo Hada
;
Taku Hirayama
;
Junichi Onodera
;
Takeo Watanabe
;
Hiroo Kinoshita
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
chemically amplified positive-tone resist;
molecular resist;
line edge roughness;
decomposition analysis;
EUV lithography;
52.
EUV Resist Requirements: Absorbance and Acid Yield
机译:
EUV抗性要求:吸光度和酸产率
作者:
Roel Gronheid
;
Carlos Fonseca
;
Michael J. Leeson
;
Jacob R. Adams
;
Jeffrey R. Strahan
;
C. Grant Willson
;
Bruce W. Smith
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
EUV;
absorbance;
resist;
interference lithography;
simulation;
53.
Understanding Pattern Collapse in High-Resolution Lithography: Impact of Feature Width on Critical Stress
机译:
了解高分辨率光刻中的图案塌陷:特征宽度对临界应力的影响
作者:
David E. Noga
;
Richard A. Lawson
;
Cheng-Tsung Lee
;
Laren M. Tolbert
;
Clifford L. Henderson
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
pattern collapse;
critical stress;
adhesion failure;
54.
Meso-scale simulation of the polymer dynamics in the formation process of line-edge roughness
机译:
线边缘粗糙度形成过程中聚合物动力学的中尺度模拟
作者:
Hiroshi Morita
;
Masao Doi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
line edge roughness;
dissipative particle dynamics simulation;
OCTA;
dynamics of polymer chain;
surface;
55.
Temperature and Critical Dimension Variation in a Single Wafer on Hot Plate due to Non-uniform Heat Source
机译:
热源不均匀导致热板上单晶片温度和临界尺寸变化
作者:
Bobae Kim
;
Joon-Min Park
;
Hyunsu Kim
;
Ilsin An
;
Seung-Wook Park
;
Hye-Keun Oh
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
hot plate;
post exposure bake;
CD uniformity;
22 nm half-pitch;
heat conduction;
56.
Diffusion of Acid and Amine at Resist / BARC Interface
机译:
酸和胺在抗蚀剂/ BARC界面的扩散
作者:
Masamitsu Shirai
;
Noriaki Majima
;
Haruyuki Okamura
;
Yoshiomi Hiroi
;
Shigeo Kimura
;
Yasuyuki Nakajima
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
CA resist;
BARC;
acid diffusion;
amine diffusion;
photoacid generator;
photobase generator;
57.
Aryl Sulfonates as Neutral Photoacid Generators (PAGs) for EUV Lithography
机译:
芳基磺酸盐作为EUV光刻的中性光酸产生剂(PAG)
作者:
Robert Sulc
;
James M. Blackwell
;
Todd R. Younkin
;
E. Steve Putna
;
Katherine Esswein
;
Antonio G. DiPasquale
;
Ryan Callahan
;
Hideaki Tsubaki
;
Tooru Tsuchihashi
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
extreme ultraviolet lithography;
EUV;
EUVL;
photoresist;
32 nm hp;
micro-exposure tool;
MET;
non-ionic;
neutral;
photoacid generator;
PAG;
sulfonate;
chemically amplified resist;
patterning;
58.
Reworkable Spin-on Trilayer Materials: Optimization of Rework Process and Solutions for Manufacturability
机译:
可返工的旋涂三层材料:返工工艺的优化和可制造性解决方案
作者:
Ruzhi Zhang
;
Allen G. Timko
;
John Zook
;
Yayi Wei
;
Lyudmila Pylneva
;
Yi Yi
;
Chenghong Li
;
Hengpeng Wu
;
Dalil Rahman
;
Douglas S. Mckenzie
;
Clement Anyadiegwu
;
Ping-Hung Lu
;
Mark Neisser
;
Ralph Dammel
;
Ron Bradbury
;
Timothy Lee
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
immersion lithography;
trilayer;
multilayer;
Si-BARC;
hardmask;
underlayer;
rework;
stripping;
piranha;
59.
Molecular Glass Resists for Next Generation Lithography
机译:
分子玻璃抵抗下一代光刻
作者:
Marie Krysak
;
Anuja De Silva
;
Jing Sha
;
Jin-Kyun Lee
;
Christopher K. Ober
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
molecular glass resists;
positive tone resists;
193nm lithography;
line edge roughness (LER);
glass transition temperature (Tg);
sensitivity;
etch resistance;
60.
Development of New Phenylcalix4resorcinarene : Its Application to Positive-Tone Molecular Resist for EB and EUV Lithography
机译:
新型苯基杯4间苯二甲烯的开发:在EB和EUV光刻正离子分子抗蚀剂中的应用
作者:
Masatoshi Echigo
;
Dai Oguro
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
calix4resorcinarene;
molecular resist;
positive-tone;
EB;
EUV;
lithography;
LER;
61.
Molecular resists based on calix4resorcinarene derivatives for EB lithography
机译:
基于杯4间苯二甲烯衍生物的分子抗蚀剂,用于电子束光刻
作者:
Kenichi Okuyama
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
molecular resist;
calix4resorcinarene derivative;
e-beam lithography;
dissolution rate;
62.
Adamantane-based molecular glass resist for 193-nm lithography
机译:
基于金刚烷的分子玻璃抗蚀剂,用于193 nm光刻
作者:
Shinji Tanaka
;
Miki Murakami
;
Kazuya Fukushima
;
Naoya Kawano
;
Yoshitaka Uenoyama
;
Katsuki Ito
;
Hidetoshi Ohno
;
Nobuaki
;
Matsumoto
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
molecular glass resist;
amorphous;
adamantane;
cholic acid;
LER;
etch resistance;
roughness;
63.
Quantitative measurement of the molecular-mass distribution in Calix4resorcinarene molecular glass resists by mass spectrometry
机译:
质谱法定量测定杯4间角芳烃分子玻璃抗蚀剂中的分子质量分布
作者:
W.E. Wallace
;
K.M. Flynn
;
C.M. Guttman
;
D.L. VanderHart
;
V.M. Prabhu
;
A. De Silva
;
N.M. Felix
;
C.K. Ober
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
64.
Incorporating Organosilanes into EUV Photoresists: Diphenyltrimethylsilylmethylsulfonium Triflate as a New PAG
机译:
将有机硅烷掺入EUV光致抗蚀剂中:三氟甲磺酸二苯基三甲基甲硅烷基甲基s作为新型PAG
作者:
Shalini Sharma
;
Yoichi Ogata
;
Clarion Tung
;
James M. Blackwell
;
Todd R. Younkin
;
Yoshi Hishiro
;
Joshua S. Figueroa
;
Arnold L. Rheingold
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
extreme ultraviolet lithography;
EUV;
EUVL;
photoresist;
photoacid generator;
PAG;
sulfonium;
reductive cleavage;
silyl transfer;
sulfur ylide;
alkyl radical;
quencher;
patterning;
65.
Main chain decomposable star shaped polymer for EUV resist
机译:
用于EUV抗蚀剂的主链可分解星形聚合物
作者:
Jun Iwashita
;
Takeyoshi Mimura
;
Taku Hirayama
;
Takeshi Iwai
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
main chain decomposable;
EUVL;
acid diffusion;
STAR polymer;
core;
arm;
PHS;
T_g;
66.
Important challenges for line-width-roughness reduction
机译:
降低线宽粗糙度的重要挑战
作者:
Hidetami. Yaeagshi
;
M.Kushibiki
;
E. Nishimura
;
S. Shimura
;
F. Iwao
;
T.Kawasaki
;
K.Hasebe
;
H.Murakami
;
A.Hara
;
K. Yabe
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
LWR;
double patterning;
spacer process;
overlay;
32nm;
22nm;
litho-litho-etch;
67.
Stochastic Modeling in Lithography: The Use of Dynamical Scaling in Photoresist Development
机译:
光刻中的随机建模:在光刻胶开发中使用动态缩放
作者:
Chris A. Mack
会议名称:
《Conference on advances in resist materials and processing technology XXVI; 20090223-25; San Jose, CA(US)》
|
2009年
关键词:
dynamical scaling;
kinetic roughness;
stochastic modeling;
photoresist development;
line-edge roughness;
linewidth roughness;
意见反馈
回到顶部
回到首页