掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on photomask technology
Conference on photomask technology
召开年:
2011
召开地:
Monterey, CA(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Fabrication of 20-nm half-pitch quartz template by nano-imprinting
机译:
通过纳米压印法制备20 nm半螺距石英模板
作者:
Naotoshi Sato
;
Tadashi Oomatsu
;
Satoshi Wakamatsu
;
Katsuhiro Nishimaki
;
Toshihiro Usa
;
Kunihiko Kodama
;
Kazuyuki Usuki
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
nanoimprint;
NIL;
template;
duplication;
2.
Dry etching performance of advanced EU V mask blanks
机译:
先进的EU V掩模毛坯的干法蚀刻性能
作者:
John Whang
;
Madhavi Chandrachood
;
Emily Gallagher
;
Tom Faure
;
Michael Grimbergen
;
Shaun Crawford
;
Keven Yu
;
T.Y.B. Leung
;
Richard Wistrom
;
Amitabh Sabharwal
;
Jeff Chen
;
Banqiu Wu
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV;
mask;
etch;
multilayer;
3.
30nm full field quartz template replicated from Si master for FLASH active layer NIL
机译:
从Si主器件复制的30nm全场石英模板用于FLASH有源层NIL
作者:
Duhyun Lee
;
Byung-Kyu Lee
;
Woong Ko
;
Jae-Kwan Kim
;
Kiyeon Yang
;
Byounghoon Seung
;
Ilyong Jang
;
Mun Ja Kim
;
Byunggook Kim
;
ChangMin Park
;
Jeongho Yeo
;
Changyoul Moon
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
template replication;
si master;
nanoimprint;
4.
Effect of repetitive acid-based cleaning on EUV mask lifetime and lithographic performance
机译:
重复酸基清洗对EUV掩模寿命和光刻性能的影响
作者:
Robert J. Chen
;
Brittany M. McClinton
;
Simi A. George
;
Yongbae Kim
;
Lorie-Mae Baclea-an
;
Patrick P. Naulleau
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUVL;
mask;
chemical cleaning;
process performance;
5.
Accelerating EUV learning with synchrotron light: Mask roughness challenges ahead
机译:
利用同步加速器灯加速EUV学习:掩模粗糙度面临的挑战
作者:
Patrick P. Naulleau
;
Kenneth A. Goldberg
;
Eric Gullikson
;
Iacopo Mochi
;
Brittany McClinton
;
Abbas Rastegar
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
extreme ultraviolet;
lithography;
multilayer;
surface roughness;
line-edge roughness;
6.
Additional evidence of EUV blank defects first seen by wafer printing
机译:
晶圆印刷首次发现EUV空白缺陷的其他证据
作者:
Rik Jonckheere
;
Dieter Van den Heuvel
;
Tristan Bret
;
Thorsten Hofinann
;
John Magana
;
Israel Aharonson
;
Doron Meshulach
;
Eric Hendrickx
;
Kurt Ronse
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV lithography;
mask defectivity;
natural defects;
blank defects;
multi-layer defects;
defect inspection;
7.
Investigation of 3D Patterns on EUV Masks by Means of Scatterometry and Comparison to Numerical Simulations
机译:
利用散射法研究EUV掩模上的3D图案并与数值模拟进行比较
作者:
Sven Burger
;
Lin Zschiedrich
;
Jan Pomplun
;
Frank Schmidt
;
Akiko Kato
;
Christian Laubis
;
Frank Scholze
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV scatterometry;
optical metrology;
3D rigorous electromagnetic field simulations;
computational lithography;
finite-element methods;
8.
PPF - Explorer: Pointwise Proximity Function calibration using a new radial-symmetric calibration structure
机译:
PPF-资源管理器:使用新的径向对称校准结构进行逐点接近函数校准
作者:
R. Galler
;
M. Krueger
;
D. Melzer
;
L.E. Ramos
;
M. Suelzle
;
U. Weidenmueller
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
electron beam lithography;
e-beam;
electron proximity effect;
PEC;
calibration;
process proximity function;
PPF;
pointwise;
simulation;
9.
High Speed Mask Inspection Data Prep Flow based on Pipelining
机译:
基于流水线的高速口罩检测数据准备流程
作者:
Dan Hung
;
Domingo Morales
;
Juan Pablo Canepa
;
Stephen Kim
;
Po Liu
;
Jean-Paul Sier
;
Patrick LoPresti
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
mask inspection;
mask inspection data preparation;
MDP;
OASIS.MASK;
pipeline flow;
I/O bottlenecks;
10.
SMO applied to contact layers at the 32 nm node and below with consideration of MEEF and MRC
机译:
考虑到MEEF和MRC,将SMO应用于32 nm及以下节点的接触层
作者:
Te-Hung Wu
;
Robert Sinn
;
Bob Gleason
;
JongDoo Kim
;
Jiyoung Hong
;
Sejin Park
;
Sukjoo Lee
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
source-mask optimization;
MEEF;
MRC;
11.
Development status and infrastructure progress update of Aerial imaging Measurements on EUV Masks
机译:
EUV口罩上的航空成像测量的发展状况和基础设施进度更新
作者:
Sascha Perlitz
;
Wolfgang Harnisch
;
Ulrich Stroessner
;
Jan Hendrik Peters
;
Markus Weiss
;
Dirk Hellweg
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
AIMS™;
EUV;
lithography;
metrology;
12.
A study on Irregular growing defect mechanism and Removal process
机译:
不规则生长缺陷机理及去除过程的研究
作者:
HyeMi Lee
;
JeaYoung Jun
;
GooMin Jeong
;
SangChul Kim
;
SangPyo Kim
;
ChangReol Kim
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
photomask;
haze;
growing defect;
irregular haze;
13.
EBM-8000: EB mask writer for product mask fabrication of 22-nm half-pitch generation and beyond
机译:
EBM-8000:EB掩模写入器,用于制造22纳米半间距及以上的产品掩模
作者:
Shusuke Yoshitake
;
Takashi Kamikubo
;
Noriaki Nakayamada
;
Kiyoshi Hattori
;
HiroyoshiAndo
;
Tomohiro Iijima
;
Kenji Ohtoshi
;
Kenichi Saito
;
Ryoichi Yoshikawa
;
Shuichi Tamamushi
;
Rikio Tomiyoshi
;
Hitoshi Higurashi
;
Yoshiaki Hattori
;
Seiichi Tsuchiya
;
Masayuki Katoh
;
Kouichi Suzuki
;
Yuichi Tachikawa
;
Munehiro Ogasawara
;
Victor Katsap
;
Steven Golladay
;
Rodney Kendall
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EBM-8000;
22-nm half-pitch;
write-time;
shot count;
current density;
mask-to-mask overlay;
pattern fidelity;
14.
Evaluation of KLA-Tencor LMS IPRO5 beta system for 22nm node registration and overlay applications
机译:
评估KLA-Tencor LMS IPRO5 beta系统用于22nm节点配准和覆盖应用
作者:
M. Ferber
;
F. Laske
;
K.-D. Roth
;
D. Adam
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
registration;
pattern placement;
in-die;
center of gravity;
arbitrarily shaped targets;
overlay;
double patterning;
edge detection;
15.
Study on the soft defects related to dry etch process of phase shift mask
机译:
相移掩模干法刻蚀工艺中的软缺陷研究
作者:
Young-Jin An
;
Jong-Min Kim
;
Byung-Sun Kang
;
Dong-Heok Lee
;
Sang-Soo Choi
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
contamination;
soft defect;
dry etch;
byproduct;
hydrophobic;
pin-hole;
cleaning;
16.
Phase defect analysis with actinic full-field EUVL mask blank inspection
机译:
使用光化全场EUVL掩模空白检测进行相缺陷分析
作者:
Takeshi Yamane
;
Toshihiko Tanaka
;
Tsuneo Terasawa
;
Osamu Suga
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUVL;
phase-defect;
mask blank;
actinic inspection;
multilayer structure;
17.
Mask Cycle Time Reduction for Foundry Projects
机译:
减少铸造项目的掩模周期时间
作者:
A.Balasinski
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
mask;
cycle time;
foundry;
tapeout;
relational database management;
18.
The assessment of the impact of mask pattern shape variation on the OPC-modeling by using SEM-Contours from wafer and mask
机译:
利用晶圆和掩模的SEM轮廓评估掩模图案形状变化对OPC建模的影响
作者:
Daisuke Hibino
;
Hiroyuki Shindo
;
Yutaka Hojyo
;
Thuy Do
;
Aasutosh Dave
;
Timothy Lin
;
Ir Kusnadi
;
John L. Sturtevant
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
OPC;
hybrid model;
2D;
corner rounding;
CD measurements;
SEM-contour;
mask;
19.
Phase-shifting Effect of Thin-absorber EUV Masks
机译:
薄吸收EUV掩模的相移效应
作者:
Hiroyoshi Tanabe
;
Tetsunori Murachi
;
Sang H. Lee
;
Manish Chandhok
;
Seh-Jin Park
;
Guojing Zhang
;
Tsukasa Abe
;
Taichi Ogase
;
Naoya Hayashi
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV mask;
thin absorber;
phase-shifting effect;
20.
EUV mask Absorber and Multi-layer Defect disposition techniques using Computational Lithography
机译:
使用计算光刻的EUV掩模吸收剂和多层缺陷处理技术
作者:
Vikram Tolani
;
Masaki Satake
;
Peter Hu
;
Danping Peng
;
Ying Li
;
David Kim
;
Linyong Pang
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV defect;
defect disposition;
32nm and below;
EUV mask inspection;
computational lithography;
EUV SEM2aerial;
multi-layer defect recovery;
21.
Evaluation of the Accuracy of Complex Illuminator Designs
机译:
复杂照明器设计精度的评估
作者:
Michael S. Hibbs
;
Jaione Tirapu-Azpiroz
;
Kazunori Seki
;
Gregory Mclntyre
;
Shinpei Kondo
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
AIMS™;
illumination accuracy;
illuminator;
22.
Study on design rule verification procedure of semiconductor memory devices by using Design Based Metrology (DBM)
机译:
使用基于设计的计量学(DBM)研究半导体存储器件的设计规则验证过程
作者:
Jae-hoon Jeong
;
Sei-ryung Choi
;
Seung-hyun Chang
;
Myoung-seob Shim
;
Gyoyoung Jin
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
design rule;
design for manufacturability;
design based metrology;
design rule verification;
23.
Megasonic Cleaning: Possible Solutions for 22nm Node and Beyond
机译:
Megasonic清洗:22nm节点及更高级别的可能解决方案
作者:
Hrishi Shende
;
Sherjang Singh
;
James Baugh
;
Raimak Mann
;
Uwe Dietze
;
Peter Dress
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
mask cleaning;
megasonic;
pattern damage;
cavitation;
sub resolution assist features;
SRAF;
PRE;
24.
The trouble starts with using electrons - Putting charging effect correction models to the test
机译:
麻烦始于使用电子-将充电效应校正模型进行测试
作者:
Timo Wandel
;
Clemens Utzny
;
Noriaki Nakayamada
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
registration;
placement;
e-beam lithography;
charging effect;
correction models;
25.
A Study of Closed Loop Application: WLCD - CDC for 32nm and bevond reticles
机译:
闭环应用研究:WLCD-CDC用于32nm和Bevond掩模版
作者:
Arosha Goonesekera
;
Ute Buttgereit
;
Thomas Thaler
;
Erez Graitzer
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
CD;
CDU;
CDC;
WLCD;
reticle, metrology;
CDU correction;
linearity;
aerial image;
26.
The requirements for the future e-beam mask writer; statistical analysis of pattern accuracy
机译:
对未来电子束口罩作家的要求;图案精度的统计分析
作者:
Sang Hee Lee
;
Jin Choi
;
Hee Bom Kim
;
Byung Gook Kim
;
Han-Ku Cho
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
CD uniformity;
image placement;
e-beam lithography;
e-beam mask writer;
shot count;
shot position error;
VSB;
field position error;
shot noise;
LER;
27.
Efficiency and Throughput Improvement on Defect Disposition through Automated Defect Classification
机译:
通过自动缺陷分类提高缺陷处理的效率和吞吐量
作者:
Lin He
;
Noel Corcoran
;
Danping Peng
;
Vikram Tolani
;
Hsien-Min Chang
;
Paul Yu
;
Kechang Wang
;
C.J. Chen
;
T.H. Yen
;
Rick Lai
;
B.H. Ong
;
Laurent C.C. Tuo
会议名称:
《Conference on photomask technology》
|
2012年
28.
Clean and Repair of EUV Photomasks
机译:
清洁和维修EUV光罩
作者:
Tod Robinson
;
Daniel Yi
;
David Brinkley
;
Ken Roessler
;
Roy White
;
Ron Bozak
;
Mike Archuletta
;
David Lee
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
photomask;
EUVL;
repair;
bitclean~®;
pattern copy;
AFM;
nanomachining;
29.
Scanning probe microscopy study of the tolerance of patterned EUV masks to megasonic cleaning
机译:
扫描探针显微镜研究图案化EUV掩模对超音速清洁的耐受性
作者:
Takeya Shimomura
;
Abbas Rastegar
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV lithography;
EUV mask;
cleaning;
defect-free mask;
scanning probe microscopy;
30.
Printability of Native Blank Defects and Programmed Defects and Their Stack Structures
机译:
原始空白缺陷和程序化缺陷及其堆栈结构的可打印性
作者:
Hyuk Joo Kwon
;
Jenah Harris-Jones
;
Ranganath Teki
;
Aaron Cordes
;
Toshio Nakajima
;
Iacopo Mochi
;
Kenneth A. Goldberg
;
Yuya Yamaguchi
;
Hiroo Kinoshita
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
defect printability;
native defect;
programmed defect;
AIT;
TEM;
EUV mask;
simplified printability model;
31.
OPC Modeling and Correction Solutions for EUV Lithography
机译:
用于EUV光刻的OPC建模和校正解决方案
作者:
James Word
;
Christian Zuniga
;
Michael Lam
;
Mohamed Habib
;
Kostas Adam
;
Michael Oliver
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV;
OPC;
flare;
32.
QoR Analysis of Fractured Data Solutions using Distributed Processing
机译:
使用分布式处理的破碎数据解决方案的QoR分析
作者:
Bhardwaj D S S
;
Nageswara Rao
;
Ravi R Pai
;
Nitin P Bhat
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
MDP (mask data processing);
fracturing;
CD uniformity;
CD-splits;
distributed processing;
33.
Holistic lithography for EUV: NXE:3100 characterization of first printed wafers using an advanced scanner model and scatterometry
机译:
EUV的整体光刻:NXE:3100使用先进的扫描仪模型和散射测量法对首个印刷晶圆进行表征
作者:
Frank A. J. M. Driessen
;
N. Davydova
;
J. Jiang
;
H. Kang
;
V. Vaenkatesan
;
D. Oorschot
;
I.S. Kim
;
S.N. Kang
;
Y. Lee
;
J. Yeo
;
K. Gronlund
;
H.Y. Liu
;
K. van Ingen-Schenau
;
R. Peeters
;
C. Wagner
;
J. Zimmermann
;
O. Schumann
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV;
lithography;
flare;
NXE;
scanner;
reticle;
photo-mask;
CDU;
imaging;
34.
EUV mask preparation considering blank defects mitigation
机译:
考虑空白缺陷缓解的EUV掩模制备
作者:
Yuelin Du
;
Hongbo Zhangt
;
Martin D. F. Wong
;
Rasit O. Topaloglu
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV;
blank defect mitigation;
mask preparation;
design blank matching;
35.
Repair of natural EUV reticle defects
机译:
修复天然EUV掩模版缺陷
作者:
R. Jonckheere
;
T. Bret
;
D. Van den Heuvel
;
J. Magana
;
W. Gao
;
M. Waiblinger
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV lithography;
mask repair;
natural defects;
blank defects;
multi-layer defects;
absorber compensation;
36.
EUVL mask inspection using 193-nm wavelength for 30-nm node and beyond
机译:
EUVL掩模检查使用193 nm波长的30 nm节点及更高
作者:
Jihoon Na
;
Wonil Cho
;
Tae-Geun Kim
;
In-Yong Kang
;
Byungcheol Cha
;
Inkyun Shin
;
Han-Ku Cho
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV lithography;
EUVL mask;
mask inspection;
mask defect;
defect contrast;
37.
Challenges associated with advanced mask cleaning
机译:
先进的口罩清洗带来的挑战
作者:
Brian J. Grenon
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
micro-contamination;
attPSM;
EUV masks;
cleaning;
ToF-SIMS;
reticles;
haze;
38.
EUV and X-ray scattering methods for CD and roughness measurement
机译:
用于CD和粗糙度测量的EUV和X射线散射方法
作者:
Frank Scholze
;
Akiko Kato
;
Jan Wernecke
;
Michael Krumrey
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
mask metrology;
scatterometry;
structure reconstruction;
structure roughness;
39.
Addressing 3D metrology challenges by using a multiple detector CDSEM
机译:
通过使用多探测器CDSEM解决3D计量挑战
作者:
Mitsuo Hiroyama
;
Tsutomu Murakawa
;
Masayuki Kuribara
;
Toshimichi Iwai
;
Minoru Soma
;
Ikuo Iko
;
Masahiro Seyama
;
Jun Matsumoto
;
Takayuki Nakamura
;
Hidemitsu Hakii
;
Isao Yonekura
;
Masashi Kawashita
;
Yasushi Nishiyama
;
Keishi Tanaka
;
Kenji Komoto
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
NGL masks;
multiple detector CDSEM;
3D metrology;
SWA;
pattern height;
40.
The Impact of a Thinner Binary Mask Absorber on 22 nm and Beyond Mask Inspectability and Defect Sensitivity
机译:
较薄的二元面罩吸收剂对22 nm的影响以及面罩可检查性和缺陷敏感性之外的影响
作者:
Karen D. Badger
;
Kazunori Seki
会议名称:
《Conference on photomask technology》
|
2012年
41.
High Resolution Mask Process and Substrate for 20 nm and Early 14 nm Node Lithography
机译:
适用于20 nm和14 nm早期节点光刻的高分辨率掩模工艺和基板
作者:
Tom Faure
;
Satoshi Akutagawa
;
Karen Badger
;
Louis Kindt
;
Jun Kotani
;
Takashi Mizoguchi
;
Satoru Nemoto
;
Kazunori Seki
;
Tasuku Senna
;
Richard Wistrom
;
Shinich Igarashi
;
YukioInazuki
;
Kazuhiro Nishikawa
;
Hiroki Yoshikawa
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
bright field masks;
e-beam resist;
clear field masks;
mask inspection;
thin OMOG;
chrome hard mask;
photomask materials;
photomask substrates;
photomask cleaning;
42.
Reducing shot count through Optimization based fracture
机译:
通过基于优化的裂缝减少射弹数量
作者:
Timothy Lin
;
Emile Sahouria
;
Nataraj Akkiraju
;
StefFen Schulze
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
optimization based fracture;
fracture;
e-beam;
inverse lithography;
43.
Extending CO_2 Cryogenic Aerosol Cleaning for Advanced Optical and EUV Mask Cleaning
机译:
扩展CO_2低温气雾剂清洁,以进行高级光学和EUV面罩清洁
作者:
Ivin Varghese
;
Charles W. Bowers
;
Mehdi Balooch
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
CO_2 cryogenic aerosol cleaning;
50x ru film cleaning;
pellicle glue residue cleaning;
EUV mask cleaning;
advanced optical patterned mask cleaning;
high aspect ratio features cleaning;
damage-free cleaning;
45nm SRAF cleaning;
44.
In-die photomask registration and overlay metrology with PROVE~® using 2D correlation methods
机译:
使用2D相关方法通过PROVE〜®进行模内光掩模配准和覆盖计量
作者:
D. Seidel
;
M. Arnz
;
D. Beyer
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
photomask metrology;
registration;
mask to mask overlay;
second layer alignment;
image analysis;
45.
Mask Industry Assessment: 2011
机译:
口罩行业评估:2011年
作者:
Y. David Chan
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
mask industry;
photomask;
mask yield;
photomask yield;
mask quality;
photomask quality;
46.
Enabling Virtual Wafer CD (WCD) Using Inverse Pattern Rendering (IPR) of Mask CD-SEM Images
机译:
使用掩模CD-SEM图像的反向图案渲染(IPR)启用虚拟晶圆CD(WCD)
作者:
Thuc Dam
;
Dongxue Chen
;
Hsien-Min Chang
;
Noel Corcoran
;
Paul Yu
;
Linyong Pang
;
Chia-Wei Chang
;
Rick Lai
;
Peter Chang
;
Laurent Tuo
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
SEM;
ILT;
image processing;
SEM aberration;
image calibration;
SEM calibration;
47.
Application of signal reconstruction techniques to shot count reduction in simulation driven fracturing
机译:
信号重建技术在模拟驱动压裂中减少弹丸计数中的应用
作者:
Shangliang Jiang
;
Avideh Zakhor
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
model-based fracturing;
mask data preparation;
variable shaped beam mask writing;
48.
Double patterning from design enablement to verification
机译:
从设计支持到验证的双重模式
作者:
David Abercrombie
;
Pat Lacour
;
Omar El-Sewefy
;
Alex Volkov
;
Evgueni Levine
;
Kellen Arb
;
Chris Reid
;
Qiao Li
;
Pradiptya Ghosh
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
mask application;
double patterning;
LELE;
DP;
litho-etch-litho-etch;
mask decomposition;
odd cycle;
49.
Effect of SPM-based cleaning POR on EUV mask performance
机译:
基于SPM的清洁POR对EUV掩模性能的影响
作者:
Jaehyuck Choi
;
Han-shin Lee
;
Jinsang Yoon
;
Takeya Shimomura
;
Alex Friz
;
Cecilia Montgomery
;
Andy Ma
;
Frank Goodwin
;
Daehyuk Kang
;
Paul Chung
;
Inkyun Shin
;
HanKu Cho
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
EUV mask quality;
patterning performance;
surface property;
EUV mask cleaning;
SPM-based cleaning;
acid-free cleaning;
mask film loss;
pre-treatment;
50.
More than monitoring: Advanced lithographic process tuning
机译:
不仅仅是监视:高级光刻工艺调整
作者:
G. R. Cantrell
;
Jo Alvin Dumaya
;
Christian Buergel
;
Axel Feicke
;
Maertin Haecker
;
Clemens Utzny
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
critical dimensions (CD);
CD uniformity (CDU);
advanced mask technology center (AMTC);
principle component analysis (PCA);
50kv e-beam mask pattern generators (PG);
chemically amplified resist (CAR);
51.
Advanced electron beam resist requirements and challenges
机译:
先进的电子束抗蚀剂要求和挑战
作者:
Andrew Jamieson
;
Yong Kwan Kim
;
Bennett Olson
;
Maiying Lu
;
Nathan Wilcox
会议名称:
《Conference on photomask technology》
|
2012年
关键词:
electron beam lithography;
resists;
photomask;
requirements;
LER;
sensitivity;
resolution;
意见反馈
回到顶部
回到首页