掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献检索
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
Conference on photomask and X-Ray mask technology
Conference on photomask and X-Ray mask technology
召开年:
1999
召开地:
Yokohama(JP)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
1.
Halftone biasing OPC technology: an approach for achieving fine biascontrol on raster-scan systems,
机译:
半色调偏置OPC技术:一种在光栅扫描系统上实现精细偏置控制的方法,
作者:
Kent H. Nakagawa
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Jang Fung Chen
;
MicroUnity Systems Engineering
;
Inc.
;
Cupertino
;
CA
;
USA
;
Robert J. Socha
;
National Semiconductor Corp.
;
Santa Clara
;
CA
;
USA
;
Tom L. Laidig
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Kurt E. Wampler
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Douglas J. Van Den Broeke
;
Photronics
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Mircea V. Dusa
;
National Semiconductor Corp.
;
Santa Clara
;
CA
;
USA
;
Roger F. Caldwell
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
2.
Mask-writing system architecture and toolkit a
机译:
遮罩书写系统架构和工具包
作者:
Christian Ehrlich
;
Leica Microsystems Lithography GmbH
;
Jena
;
Germany
;
Juergen Gramss
;
Leica Microsystems Lithography GmbH
;
Jena
;
Germany
;
Hans-Joachim Doering
;
Leica Microsystems Lithography GmbH
;
Jena
;
Germany.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
3.
New approach for realizing k1=0.3 optical lithography,
机译:
实现k1 = 0.3光刻的新方法,
作者:
Masanobu Hasegawa
;
Canon Inc.
;
Utsunomiya-shi Tochigi
;
Japan
;
Akiyoshi Suzuki
;
Canon Inc.
;
Utsunomiya-shi Tochigi
;
Japan
;
Kenji Saito
;
Canon Inc.
;
Utsunomiya-shi Tochigi
;
Japan
;
Minoru Yoshii
;
Canon Inc.
;
Utunomiya Tochigi-Ken
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
4.
Shi
机译:
shi
作者:
Sheng-Bai Zhu
;
Asyst Technologies
;
Inc.
;
Fremont
;
CA
;
USA
;
Ray Martin
;
Asyst Technologies
;
Inc.
;
Fremont
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
5.
Three x-ray mask-making methods a
机译:
三种X射线口罩制作方法
作者:
Hsiharng Yang
;
MIRL/ITRI
;
Chutung Hsingchu
;
Taiwan
;
Shung-Wen Kang
;
Tamkang Univ.
;
Tamsui Taipei
;
Taiwan
;
Min-Chieh Chou
;
MIRL/ITRI
;
Chu-Tong
;
Hsinchu
;
Taiwan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
6.
Fine pattern process with negative tone resist: II
机译:
带有负性抗蚀剂的精细图案工艺:II
作者:
Eiichi Hoshino
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagawa
;
Japan
;
T.Minagawa
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagawa
;
Japan
;
Akira Morishige
;
Fujitsu Ltd.
;
Kawasaki Kanagawa
;
Japan
;
Keiji Watanabe
;
Fujitsu Labs. Ltd.
;
Atsugi-shi Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
7.
Mask manufacturability issues for subwavelength lithography
机译:
亚波长光刻的掩模可制造性问题
作者:
Linard Karklin
;
Numerical Technologies
;
Inc.
;
San Jose
;
CA
;
USA
;
Kenneth E. Rachlin
;
Numerical Technologies
;
Inc.
;
San Jose
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
8.
Cost-effective DUV PSM process
机译:
具有成本效益的DUV PSM工艺
作者:
S. D. Tzu
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-Chu
;
Taiwan
;
Ching S. Chiu
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-Chu
;
Taiwan
;
Chuesan Yoo
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-Chu
;
Taiwan
;
Jia-Jinq Wang
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsinchu
;
Taiwan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
9.
Plasma etching of Cr: a multiparameter uniformity study utilizing patterns of various Cr loads
机译:
Cr的等离子蚀刻:利用各种Cr负载的模式进行的多参数均匀性研究
作者:
Chris Constantine
;
Plasma-Therm
;
Inc.
;
St Petersburg
;
FL
;
USA
;
Russ J. Westerman
;
Plasma-Therm
;
Inc.
;
St Petersburg
;
FL
;
USA
;
J.Plumhoff
;
Plasma-Therm
;
Inc.
;
St. Petersburg
;
FL
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
10.
Defect detectability and printability of contact hole pattern of KrF halftone reticle
机译:
KrF半色调掩模版的接触孔图案的缺陷可检测性和可印刷性
作者:
Kanji Takeuchi
;
Fujitsu Ltd.
;
Mie
;
Japan
;
Yutaka Miyahara
;
Fujitsu Ltd.
;
Kuwana-gun Mie
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
11.
EB_PEC by using OPC software
机译:
使用OPC软件的EB_PEC
作者:
Kenny Yang
;
Taiwan Mask Corp.
;
Hsinchu
;
Taiwan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
12.
Evaluation of NLD mask dry etching system
机译:
NLD掩模干蚀刻系统的评估
作者:
Tatsuya Fujisawa
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Kanagawa
;
Japan
;
Takayuki Iwamatsu
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Koji Hiruta
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Hiroaki Morimoto
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Takaei Sasaki
;
ULVAC Coating Corp.
;
Chichibu-shi Saitama
;
Japan
;
Kazuhide Yamashiro
;
HOYA Corp.
;
Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
13.
Line-width verification for 0.18- and 0.25-um design rule wafers and reticles
机译:
0.18和0.25um设计规则晶圆和掩模版的线宽验证
作者:
Shen-Chung Kuo
;
Taiwan Mask Corp.
;
Hsinchu
;
Taiwan
;
Clare Wu
;
Applied Materials
;
Hsunchu
;
Taiwan
;
Nathan Schumann
;
Applied Materials
;
Santa Clara
;
CA
;
USA
;
Wolfgang Staud
;
Applied Materials
;
Santa Clara
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
14.
New Y2K problem for mask making (or Surviving mask data problems after 2000)
机译:
制作遮罩的新的Y2K问题(或2000年后尚存的遮罩数据问题)
作者:
Roger Sturgeon
;
Transcription Enterprises Ltd.
;
Los Gatos
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
15.
Progress in SiC membrane for x-ray mask
机译:
X射线掩模用SiC膜的研究进展
作者:
Tsutomu Shoki
;
HOYA Corp.
;
Akishima-shi Tokyo
;
Japan
;
Akinori Kurikawa
;
HOYA Corp.
;
Yamanashi
;
Japan
;
Takamitsu Kawahara
;
HOYA Corp.
;
Tokyo
;
Japan
;
Tadashi Sakurai
;
HOYA Corp.
;
Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
16.
CD-SEM suitability for CD metrology of modern photomasks
机译:
CD-SEM适用于现代光掩模的CD计量
作者:
Waiman Ng
;
KLA-Tencor Corp.
;
Los Gatos
;
CA
;
USA
;
Geoffrey Anderson
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
Hugo A. Villa
;
DuPont Photomasks
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Franklin D. Kalk
;
DuPont Photomasks
;
Inc.
;
Austin
;
TX
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
17.
High-resolution UV wavelength reticle contamination inspection
机译:
高分辨率紫外线波长掩模版污染检查
作者:
Franklin D. Kalk
;
DuPont Photomasks
;
Inc.
;
Austin
;
TX
;
USA
;
William Volk
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
James N. Wiley
;
KLA-Tencor Corp.
;
Menlo Park
;
CA
;
USA
;
E.Hou
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
Sterling Watson
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
18.
Impact of mask CD error on wafer CD error at low-k1 photolithography
机译:
低k1光刻时掩模CD错误对晶片CD错误的影响
作者:
Byung-Gook Kim
;
Samsung Electronics Co.
;
Ltd.
;
Kyoungki-Do Suwon
;
Japan
;
Song-Woon Choi
;
Samsung Electronics Co.
;
Ltd.
;
Kyungki-Do Suwon
;
South Korea
;
Ji-Hyun Choi
;
Samsung Electronics Co.
;
Ltd.
;
Yongin-City
;
South Korea
;
Chan-Uk Chun
;
Samsung Electronics Co.
;
Ltd.
;
Yongin City
;
South Korea
;
Heesun Yoon
;
Samsung Electronics Co.
;
Ltd.
;
Yongin Kyoungki-Do
;
South Korea
;
Jung-Min Sohn
;
Samsung Electronics Co.
;
Ltd.
;
Kyungki-Do Suwon
;
South Korea.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
19.
Improvement of post-exposure delay stability of chemically amplified positive resist
机译:
提高化学放大正性抗蚀剂的曝光后延迟稳定性
作者:
Kohji Katoh
;
Hitachi Chemical Co.
;
Ltd.
;
Ibaraki
;
Japan
;
Kei Kasuya
;
Hitachi Chemical Co.
;
Ltd.
;
Ibaraki
;
Japan
;
Michiaki Hashimoto
;
Hitachi Chemical Co.
;
Ltd.
;
Ibaraki
;
Japan
;
Tadashi Arai
;
Hitachi
;
Ltd.
;
Kokubunji-shi Tokyo
;
Japan
;
Toshio Sakamizu
;
Hitachi
;
Ltd.
;
Kokubunji-shi Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
20.
New UV-capable photomask CD metrology tool
机译:
新的具有紫外线功能的光掩模CD计量工具
作者:
Gerhard Schlueter
;
Leica Microsystems Wetzlar GmbH
;
Wetzlar
;
Germany
;
Hans-Juergen Brueck
;
MueTec GmbH
;
Munich
;
Germany
;
Sebastian Birkenmayer
;
MueTec GmbH
;
Munich
;
Germany
;
Guenther Falk
;
MueTec GmbH
;
Munich
;
Germany
;
Gerd Scheuring
;
MueTec GmbH
;
Munich
;
Germany
;
Lars Walden
;
MueTec GmbH
;
Munich
;
Germany
;
Sigrid Lehnigk
;
Submicron Technologies GmbH
;
Munich
;
Germany.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
21.
Aerial image analysis based on UV reticle inspection
机译:
基于紫外光罩检查的航拍图像分析
作者:
Wolfgang Staud
;
Applied Materials
;
Santa Clara
;
CA
;
USA
;
Yair Eran
;
Applied Materials
;
Yavne
;
Israel
;
Gidon Gottlib
;
Applied Materials
;
Yavne
;
Israel
;
A.Chereshnya
;
Applied Materials
;
Santa Clara
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
22.
Printability of programmed x-ray mask defects
机译:
编程的X射线光罩缺陷的可印刷性
作者:
Hiroshi Watanabe
;
Association of Super-Advanced Electronics Technologie s
;
Kanagawa
;
Japan
;
H.Yabe
;
Association of Super-Advanced Electronics Technologie s
;
Atsugi
;
Japan
;
Yukiko Kikuchi
;
Association of Super-Advanced Electronics Technologie s
;
Kanagawa
;
Japan
;
K.Marumoto
;
Association of Super-Advanced Electronics Technologie s
;
Kanagawa
;
Japan
;
Yasuji Matsui
;
Association of Super-Advanced Electronics Technologie s
;
Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
23.
Properties of sputtered TaGe as an x-ray absorber material
机译:
溅射TaGe作为X射线吸收材料的性能
作者:
Takuya Yoshihara
;
NEC Corp.
;
Tsukuba Ibaraki
;
Japan
;
S.Kotsuji
;
NEC Corp.
;
Ibaraki
;
Japan
;
Katsumi Suzuki
;
NEC Corp.
;
Ibaraki
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
24.
Attenuated phase-shifting mask in ArF lithography
机译:
ArF光刻中的衰减型移相掩模
作者:
Junji Miyazaki
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Masaya Uematsu
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Keisuke Nakazawa
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Takahiro Matsuo
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Toshio Onodera
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Tohru Ogawa
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
25.
Auxiliary pattern generation to cancel unexpected images at sidelobe overlap regions in attenuated phase-shift masks
机译:
辅助图案生成可消除衰减相移掩模中旁瓣重叠区域的意外图像
作者:
Kyoji Nakajo
;
Hitachi ULSI Systems Co.
;
Ltd.
;
Tokyo
;
Japan
;
Junya Sakemi
;
Hitachi ULSI Systems Co.
;
Ltd.
;
Kokubunji-shi Tokyo
;
Japan
;
Hiroshi Fukuda
;
Hitachi
;
Ltd.
;
Kokubunji-shi
;
Tokyo
;
Japan
;
Tsuneo Terasawa
;
Hitachi
;
Ltd.
;
Kokubungi Tokyo
;
Japan
;
Norio Hasegawa
;
Hitachi
;
Ltd.
;
Ome-shi Tokyo
;
Japan
;
Eiji Tsujimoto
;
Hitachi
;
Ltd.
;
Ome-shi Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
26.
Evaluation of the attenuated PSM performance as the shifter transmittance and illumination systems
机译:
评估衰减的PSM性能,作为移动器透射率和照明系统
作者:
Yong H. Kim
;
Samsung Electronics Co.
;
Ltd.
;
Yongin-City Kyongki-do
;
South Korea
;
Jo-Hyun Park
;
Samsung Electronics Co.
;
Ltd.
;
Yongin-City
;
South Korea
;
Jin-Hong Park
;
Samsung Electronics Co.
;
Ltd.
;
Kyungki-Do Suwon
;
South Korea
;
Kyung H. Lee
;
Samsung Electronics Co.
;
Ltd.
;
Suwon
;
South Korea
;
Song-Woon Choi
;
Samsung Electronics Co.
;
Ltd.
;
Kyungki-Do Suwon
;
South Korea
;
Heesun Yoon
;
Samsung Electronics Co.
;
Ltd.
;
Yongin Kyoungki-Do
;
South Korea
;
Jung-Min Sohn
;
Samsung Electronics Co.
;
Ltd.
;
Kyungki-Do Suwon
;
South Korea.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
27.
Evaluation of the impact of pattern fidelity on photomask inspectability
机译:
评估图案保真度对光掩模可检查性的影响
作者:
Kevin S. Woolverton
;
Intel Corp.
;
Sunnyvale
;
CA
;
USA
;
Gang Liu
;
Intel Corp.
;
Santa Clara
;
CA
;
USA
;
Peter Zwigl
;
Intel Corp.
;
Santa Clara
;
CA
;
USA
;
Wayne E. Ruch
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
28.
Fabrication of MoSiON halftone masks using ZEP7000 for MEBES 4500
机译:
使用MEBES 4500的ZEP7000制作MoSiON半色调掩模
作者:
Kazuyuki Maetoko
;
Mitsubishi Electric Corp.
;
Hyogo
;
Japan
;
K.Tange
;
Mitsubishi Electric Corp.
;
Hyogo
;
Japan
;
H.Fukuma
;
Ryoden Semiconductor System Engineering Corp.
;
Japan
;
Nobuyuki Yoshioka
;
Mitsubishi Electric Corp.
;
Itami Hyogo
;
Japan
;
Susumu Kawada
;
Ulvac Coating Corp.
;
Chichibu-shi Saitama
;
Japan
;
M.Ishizuka
;
Ulvac Coating Corp.
;
Chichibu-shi Saitama
;
Japan
;
Takaei Sasaki
;
Ulvac Coating Corp.
;
Chichibu-shi Saitama
;
Japan
;
Charles A. Sauer
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
29.
ICP (inductively coupled plasma) dry etch of DUV MoSi HTPSM
机译:
DUV MoSi HTPSM的ICP(电感耦合等离子体)干法蚀刻
作者:
Kyu Y. Lee
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
L.J. Kim
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
Kyung-Han Nam
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
Keuntaek Park
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
Y.M. Ku
;
Hyundai Electronics
;
Kyunggi-do
;
South Korea
;
S.S. Ku
;
Hyundai Electronics
;
Kyunggi-do
;
South Korea
;
I.B. Hur
;
Hyundai Electronics
;
Kyunggi-do
;
South Korea.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
30.
Improvement of OPC data processing for photomask fabrication
机译:
改进用于光掩模制造的OPC数据处理
作者:
Nobuhito Toyama
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan
;
Hiroyuki Miyashita
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan
;
Kouji Ishida
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
31.
Interactive OPC simulator for memory devices
机译:
用于存储设备的交互式OPC模拟器
作者:
Hirotomo Inui
;
NEC Corp.
;
Sagamihara
;
Kanagawa
;
Japan
;
Haruo Iwasaki
;
NEC Corp.
;
Kanagawa
;
Japan
;
Toshiyuki Ohta
;
NEC Corp.
;
Kawasaki Kanagawa
;
Japan
;
Hiroyoshi Tanabe
;
NEC Corp.
;
Sagamihara Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
32.
Manufacturability of a 0.18-um OPC technology
机译:
0.18um OPC技术的可制造性
作者:
R.G. Hsieh
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-Chu
;
Taiwan
;
Huitzu T. Lin
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-Chu
;
Taiwan
;
John C. Lin
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsinchu
;
Taiwan
;
A.Yen
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-chu
;
Taiwan
;
Chuesan Yoo
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsin-Chu
;
Taiwan
;
Jia-Jinq Wang
;
Taiwan Semiconductor Manufacturing Co.
;
Ltd.
;
Hsinchu
;
Taiwan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
33.
New data processing of dummy pattern generation adaptive for CMP process
机译:
适用于CMP工艺的虚拟图案生成的新数据处理
作者:
Shinichi Ueki
;
Sony Corp.
;
Atsugi-shi Kanagawa
;
Japan
;
Isao Ashida
;
Sony Corp.
;
Atsugi-shi Kanagawa
;
Japan
;
Hiroichi Kawahira
;
Sony Corp.
;
Kanagawa
;
Japan.
会议名称:
《》
|
1999年
34.
Advanced high-resolution mask processes using optical proximity correction
机译:
使用光学邻近校正的高级高分辨率掩模工艺
作者:
Y. David Chan
;
Photronics
;
Inc.
;
Milpitas
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
35.
Advantage in using the combination of HL-800M and CAR process
机译:
结合使用HL-800M和CAR工艺的优势
作者:
Suyo Asai
;
Hitachi
;
Ltd.
;
Ibaraki
;
Japan
;
Yasuhiro Kadowaki
;
Hitachi
;
Ltd.
;
Ibaraki Tokyo
;
Japan
;
Katsuhiro Kawasaki
;
Hitachi
;
Ltd.
;
Ibaraki Tokyo
;
Japan
;
Kazui Mizuno
;
Hitachi
;
Ltd.
;
Ibaraki Tokyo
;
Japan
;
Hidetoshi Satoh
;
Hitachi
;
Ltd.
;
Kokubunji-shi Tokyo
;
Japan
;
Morihisa Hoga
;
Hitachi
;
Ltd.
;
Kodaira Tokyo
;
Japan
;
Kazunori Ikeda
;
Hitachi
;
Ltd.
;
Ibaraki
;
Japan
;
Eri Iguchi
;
Hitachi
;
Ltd.
;
Ibaraki
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
36.
Pattern dependence of mask topography effect in alternating phase-shifting masks
机译:
交替相移掩模中掩模形貌效应的图案依赖性
作者:
Tadao Yasuzato
;
NEC Corp.
;
Kanagawa
;
Japan
;
Shinji Ishida
;
NEC Corp.
;
Kanagawa
;
Japan
;
Hiroyoshi Tanabe
;
NEC Corp.
;
Sagamihara Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
37.
Reduction of fogging effect caused by scattered electrons in an electron beam system
机译:
减少由电子束系统中的散射电子引起的起雾效应
作者:
Naoharu Shimomura
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Munehiro Ogasawara
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Jun Takamatsu
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Shusuke Yoshitake
;
Toshiba Corp.
;
Kawasaki Kanagawa
;
Japan
;
Kenji Ooki
;
Toshiba Corp.
;
Kawasaki
;
Japan
;
Noriaki Nakayamada
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Fumiyuki Okabe
;
Toshiba Machine Co.
;
Ltd.
;
Numazu
;
Japan
;
Toru Tojo
;
Toshiba Machine Co.
;
Ltd.
;
Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
38.
Enhancement of hierarchical mask data design system (PROPHET)
机译:
增强分层蒙版数据设计系统(PROPHET)
作者:
Takahiro Watanabe
;
Hitachi
;
Ltd.
;
Ome-shi Tokyo
;
Japan
;
Eiji Tsujimoto
;
Hitachi
;
Ltd.
;
Ome-shi Tokyo
;
Japan
;
Keiji Maeda
;
Hitachi ULSI Systems Co.
;
Ltd.
;
Ome-shi Tokyo
;
Japan
;
Hiroshi Fukuda
;
Hitachi
;
Ltd.
;
Kokubunji-shi
;
Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
39.
Evaluation method of 0.15- to 0.25-um advanced reticle inspection system
机译:
0.15-0.25um的先进光罩检查系统的评估方法
作者:
Andre Wang
;
Taiwan Mask Corp.
;
Hsinchu
;
Taiwan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
40.
Implementation of chemically amplified resist on mask technology below 0.6-um feature using high-acceleration voltage e-beam system
机译:
使用加速电压电子束系统在0.6um以下的掩模技术上实现化学放大抗蚀剂
作者:
Il-Ho Lee
;
DuPont Photomasks Korea Ltd.
;
Ichon-Si KyoungGi-Do
;
South Korea
;
Kyung-Han Nam
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
Kyeong-Mee Yeon
;
DuPont Photomasks Korea Ltd.
;
Kyuggi-do
;
South Korea
;
Keuntaek Park
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
Sang-Sool Koo
;
Hyundai Electronics Industries Co.
;
Ltd.
;
Ichon-Si KyounGi-Do
;
South Korea
;
Youngmo Koo
;
Hyundai Electronics Industries Co.
;
Ltd.
;
USA
;
Ki-Ho Baik
;
Hyundai Electronics Industries Co.
;
Ltd.
;
Ichon-si Kyoungki-do
;
South Korea.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
41.
Novel inspection system with design rule check for high-accuracy reticles
机译:
带有设计规则检查的新型检查系统,用于高精度标线
作者:
Takayoshi Matsuyama
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagawa
;
Japan
;
Ken-ichi Kobayashi
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagawa
;
Japan
;
Daikichi Awamura
;
Lasertec Corp.
;
Kohoku-ku Yokohama
;
Japan
;
Katsuyoshi Nakashima
;
Lasertec Corp.
;
Kohoku-ku Yokohama Kanag
;
Japan
;
Yasunori Hada
;
Lasertec Corp.
;
Kohoku-ku Yokohama
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
42.
Proposal of new layout data format for LSI patterns
机译:
关于LSI模式的新布局数据格式的建议
作者:
Isao Ashida
;
Sony Corp.
;
Atsugi-shi Kanagawa
;
Japan
;
Yutaka Sato
;
Sony Corp.
;
Atsugi-shi Kanagawa
;
Japan
;
Hiroichi Kawahira
;
Sony Corp.
;
Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
43.
Semiconductor technology trend and requirements for masks
机译:
半导体技术趋势和对掩模的要求
作者:
Hiroyoshi Komiya
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
44.
Stencil mask fabrication for cell projection e-beam lithography with silicon wafer
机译:
用于硅晶片的单元投影电子束光刻的模板掩模制造
作者:
Jaeseung Choi
;
LG Semicon Co.
;
Ltd.
;
Cheongju-si
;
Japan
;
Seung-Ho Yi
;
LG Semicon Co.
;
Ltd.
;
Cheongju
;
South Korea
;
Yongkyoo Choi
;
LG Semicon Co.
;
Ltd.
;
Chongju-shi
;
South Korea
;
Hoon Huh
;
LG Semicon Co.
;
Ltd.
;
Cheongju-si
;
South Korea
;
Jaejeong Kim
;
LG Semicon Co.
;
Ltd.
;
Cheongju-si
;
South Korea.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
45.
Halftone biasing OPC technology: an approach for achieving fine bias control on raster-scan systems
机译:
半色调偏置OPC技术:一种在光栅扫描系统上实现精细偏置控制的方法
作者:
Author(s): Kent H. Nakagawa MicroUnity Systems Engineering Inc. Santa Clara CA USA
;
Jang Fung Chen MicroUnity Systems Engineering Inc. Cupertino CA USA
;
Robert J. Socha National Semiconductor Corp. Santa Clara CA USA
;
Tom L. Laidig MicroUnity Systems Engineering Inc. Santa Clara CA USA
;
Kurt E. Wampler MicroUnity Systems Engineering Inc. Santa Clara CA USA
;
Douglas J. Van Den Broeke Photronics Inc. Santa Clara CA USA
;
Mircea V. Dusa National Semiconductor Corp. Santa Clara CA USA
;
Roger F. Caldwell MicroUnity Systems Engineering Inc. Santa Clara CA USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
46.
Comparative evaluation results of CMS replacement resist for e-beam reticle fabrication
机译:
CMS替代抗蚀剂在电子束掩模版制造中的比较评估结果
作者:
Hideo Kobayashi
;
HOYA Corp.
;
Kitakoma-gun Yamanashi
;
Japan
;
Takao Higuchi
;
HOYA Corp.
;
Kitakoma-gun Yamanashi
;
Japan
;
Keishi Asakawa
;
HOYA Corp.
;
Kitakoma-gun Yamanashi
;
Japan
;
Yasunori Yokoya
;
HOYA Corp.
;
Kitakoma-gun Yamanashi
;
Japan
;
Tetsuya Wada
;
HOYA Corp.
;
Kitakoma-gun Yamanashi
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
47.
Comparison of mulitpass gray strategy and conventional writing method
机译:
多行灰色策略与传统书写方法的比较
作者:
Ichiro Kagami
;
Sony Corp.
;
Kanagawa
;
Japan
;
Masaaki Koyama
;
Sony Corp.
;
Tokyo
;
Japan
;
Hiroichi Kawahira
;
Sony Corp.
;
Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
48.
Development of Cr-based attenuated phase-shift mask process for 0.18-um device generation
机译:
用于0.18um器件生成的基于Cr的衰减相移掩模工艺的开发
作者:
Ichiro Kagami
;
Sony Corp.
;
Kanagawa
;
Japan
;
Kiichi Ishikawa
;
Sony Corp.
;
Kanagawa
;
Japan
;
Daichi Kakuta
;
Sony Corp.
;
Kanagawa
;
Japan
;
Hiroichi Kawahira
;
Sony Corp.
;
Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
49.
Development of focused ion-beam repair for opaque defects on MoSi-based attenuated phase-shift mask
机译:
基于MoSi的衰减相移掩模上的不透明缺陷的聚焦离子束修复技术的开发
作者:
Naoki Nishida
;
HOYA Corp.
;
Hachioji Tokyo
;
Japan
;
Yasuyuki Nishio
;
HOYA Corp.
;
Tokyo
;
Japan
;
Hiroshi Kinoshita
;
HOYA Corp.
;
Tokyo
;
Japan
;
Osamu Takaoka
;
Seiko Instruments Inc.
;
Shizuoka
;
Japan
;
Tomokazu Kozakai
;
Seiko Instruments Inc.
;
shizuoka
;
Japan
;
Kazuo Aita
;
Seiko Instruments Inc.
;
Shizuoka
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
50.
Evaluation of an advanced mask-writing system
机译:
评估先进的蒙版书写系统
作者:
Shinji Kubo
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Kanagawa
;
Japan
;
Koji Hiruta
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Masao Sugiyama
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Kanagawa
;
Japan
;
Takayuki Iwamatsu
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan
;
Tatsuya Fujisawa
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Kanagawa
;
Japan
;
Hiroaki Morimoto
;
Semiconductor Leading Edge Technologies
;
Inc.
;
Yokohama Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
51.
Implementation issues for production OPC
机译:
生产OPC的实施问题
作者:
Franklin M. Schellenberg
;
Mentor Graphics Corp.
;
Palo Alto
;
CA
;
USA
;
Pat LaCour
;
Mentor Graphics Corp.
;
Austin
;
TX
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
52.
New mask blank handling system for the advanced electron-beam writer EX-11
机译:
适用于先进电子束记录仪EX-11的新型面膜毛坯处理系统
作者:
Shusuke Yoshitake
;
Toshiba Corp.
;
Kawasaki Kanagawa
;
Japan
;
Kenji Ooki
;
Toshiba Corp.
;
Kawasaki
;
Japan
;
Yoji Ogawa
;
Toshiba Corp.
;
Kawasaki
;
Japan
;
Katsuhito Ogura
;
Toshiba Machine Co.
;
Ltd.
;
Numazu
;
Japan
;
Teruaki Yamamoto
;
Toshiba Machine Co.
;
Ltd.
;
Saiwai-ku Kanagawa
;
Japan
;
Ryoichi Hirano
;
Toshiba Machine Co.
;
Ltd.
;
Saiwai-ku Kawasaki-shi
;
Japan
;
Masaki Toriumi
;
Toshiba Machine Co.
;
Ltd.
;
Tokyo
;
Japan
;
Toru Tojo
;
Toshiba Machine Co.
;
Ltd.
;
Tokyo
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
53.
Advanced Cr dry etching process
机译:
先进的Cr干蚀刻工艺
作者:
Satoshi Aoyama
;
Mitsubishi Electric Corp.
;
Hyogo
;
Japan
;
Shouichi Sakamoto
;
Mitsubishi Electric Corp.
;
Hyogo
;
Japan
;
Tutomu Koike
;
Mitsubishi Electric Corp.
;
Hyogo
;
Japan
;
Nobuyuki Yoshioka
;
Mitsubishi Electric Corp.
;
Itami Hyogo
;
Japan
;
Noriyuki Harashima
;
Ulvac Coating Corp.
;
Saitama
;
Japan
;
Atsushi Hayashi
;
Ulvac Coating Corp.
;
Chichibu-shi Saitama
;
Japan
;
Takaei Sasaki
;
Ulvac Coating Corp.
;
Chichibu-shi Saitama
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
54.
X-ray phase-shift mask for proximity x-ray lithography with synchrotron radiation
机译:
X射线相移掩模,用于具有同步辐射的近距离X射线光刻
作者:
Mizunori Ezaki
;
Toshiba Corp.
;
Kanagawa
;
Japan
;
Ken-ichi Murooka
;
Toshiba Corp.
;
Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
55.
Advanced electron-beam writing system EX-11 for next-generation mask fabrication
机译:
先进的电子束写入系统EX-11,用于下一代掩模制造
作者:
Author(s): Toru Tojo Toshiba Corp. Tokyo Japan
;
Ryoichi Yoshikawa Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Yoji Ogawa Toshiba Corp. Kawasaki Japan
;
Shuichi Tamamushi Toshiba Corp. Numazu Japan
;
Yoshiaki Hattori Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Souji Koikari Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Hideo Kusakabe Toshiba Corp. Kawaski-shi Kanagawa Japan
;
Takayuki Abe Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Munehiro Ogasawara Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Kiminobu Akeno Toshiba Corp. Kanagawa Japan
;
Hirohito Anze Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Kiyoshi Hattori Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Ryoichi Hirano Toshiba Corp. Saiwai-ku Kawasaki-shi Japan
;
Shusuke Yoshitake Toshiba Corp. Kawasaki Kanagawa Japan
;
Tomohiro Iijima Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Kenji Ohtoshi Toshiba Corp. Numazu-shi Shizuoka Japan
;
Kazuto Matsuki Toshiba Corp. Numazu Japan
;
Naoharu Shimomura Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Noboru Yamada Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Hitoshi Higurashi Toshiba Corp. Kawasaki Japan
;
Noriaki Nakayamada Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Yuji Fukudome Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Shigehiro Hara Toshiba Corp. Saiwai-ku Kawasaki Japan
;
Eiji Murakami Toshiba Corp. Saiwai-ku Kawasaki Japan
;
Takashi Kamikubo Toshiba Corp. Saiwa-ku Kawasaki-shi Japan
;
Yasuo Suzuki Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Susumu Oogi Toshiba Corp. Saiwai-ku Kawasaki-shi Japan
;
Mitsuko Shimizu Toshiba Corp. Saiwa-ku Kawasaki-shi Japan
;
Shinsuke Nishimura Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Hideyuki Tsurumaki Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Satoshi Yasuda Toshiba Corp. Kawasaki-shi Kanagawa Japan
;
Kenji Ooki Toshiba Corp. Kawasaki Japan
;
Kiyomi Koyama Toshiba Corp. Kawasaki Kanagawa Japan
;
Susumu Watanabe Toshiba Corp. Yokohama Japan
;
Mitsuhiro Yano Toshiba Corp. Yokohama Japan
;
Hiroaki Suzuki Toshiba Corp. Yokohama Japan
;
Hiroshi Hoshino Toshiba Machine Co. Ltd. Tokyo Japan
;
Masaki Toriumi Toshiba Machine Co. Ltd. Tokyo Japan
;
Osamu Watanabe Toshiba Machine Co. Ltd. Tokyo Japan
;
Kazuo Tsuji Toshiba Machine Co. Ltd. Tokyo Japan
;
Mitsunobu Katayama Toshiba Machine Co. Ltd. Tokyo Japan
;
Seiichi Tsuchiya Toshiba Machine Co. Ltd. Tokyo Japan
;
Kimio Suzuki Toshiba Machine Co. Ltd. Tokyo Japan
;
Shiro Kurasawa Toshiba Machine Co. Ltd. Tokyo Japan
;
Kazuyuki Okuzono Toshiba Machine Co. Ltd. Tokyo Japan
;
Hirokazu Yamada Toshiba Machine Co. Ltd. Tokyo Japan
;
Koji Handa Toshiba Machine Co. Ltd. Tokyo Japan
;
Yoshio Suzuki Toshiba Machine Co. Ltd. Tokyo Japan
;
Tetsu Akiyama Toshiba Machine Co. Ltd. Tokyo Japan
;
Yoshiaki Tada Toshiba Machine Co. Ltd. Shuzuoka Japan
;
Akira Noma Toshiba Machine Co. Ltd. Shizuoka-Ken Japan
;
Tadahiro Takigawa Toshiba Corp. Yokohama Kanagawa Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
56.
Development of a total CAD system for alternate-type PSMs with optical proximity correction
机译:
开发具有光学接近校正功能的替代型PSM的整体CAD系统
作者:
Tamae Haruki
;
Fujitsu Ltd.
;
Kawasaki-Shi Kanagawa
;
Japan
;
Ryo Tsujimura
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagawa
;
Japan
;
Junji Tomida
;
Fujitsu VLSI Ltd.
;
Kasugai-shi Aichi
;
Japan
;
Yasuhide Machida
;
Fujitsu Ltd.
;
Atsugi
;
Japan
;
Satoru Asai
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagaw
;
Japan
;
Isamu Hanyu
;
Fujitsu Ltd.
;
Kawasaki-shi Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
57.
Development of pellicle for ArF excimer laser
机译:
ArF准分子激光防护膜的开发
作者:
Shigeto Shigematsu
;
Mitsui Chemicals
;
Inc.
;
Hiroshima
;
Japan
;
M.Kondo
;
Mitsui Chemicals
;
Inc.
;
Hiroshima
;
Japan
;
Hiroaki Nakagawa
;
Mitsui Chemicals
;
Inc.
;
Hiroshima
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
58.
Inspection and printability of programmed defects on reticles for 0.200- and 0.175-um rule devices
机译:
用于0.200和0.175um标尺设备的掩模版上编程缺陷的检查和可印刷性
作者:
Shinji Yamaguchi
;
Toshiba Corp.
;
Kanagawa
;
Japan
;
Hideki Kanai
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Haruki Komano
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Hideaki Sakurai
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Takehiro Kondo
;
Toshiba Corp.
;
Kanagawa
;
Japan
;
Masamitsu Itoh
;
Toshiba Corp.
;
Yokohama
;
Japan
;
Ichiro Mori
;
Toshiba Corp.
;
Saiwai-ku Kawasaki Kanag
;
Japan
;
Iwao Higashikawa
;
Toshiba Corp.
;
Kawasaki Kanagawa
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
59.
Pellicle for ArF excimer laser photolithography
机译:
ArF准分子激光光刻胶膜
作者:
Ikuo Sakurai
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Gunma
;
Japan
;
T.Shirasaki
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Annaka-shi Gunma
;
Japan
;
Meguru Kashida
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Gunma
;
Japan
;
Yoshihiro Kubota
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Gunma
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
60.
Primary evaluation of proximity and resist heating effects observed in high-acceleration voltage e-beam writing for 180-nm-and-beyond rule reticle fabrication
机译:
初步评估在高速电压电子束写入中用于180nm及以上的标准光罩制造中观察到的接近性和抗蚀剂加热效果
作者:
N.Kuwahara
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan
;
H.Nakagawa
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan
;
Masa-aki Kurihara
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan
;
Naoya Hayashi
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan
;
Hisatake Sano
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitawa
;
Japan
;
E.Maruta
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitawa
;
Japan
;
T.Takikawa
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitawa
;
Japan
;
Shigeru Noguchi
;
Dai Nippon Printing Co.
;
Ltd.
;
Saitama
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
61.
Resist heating effect on 50-KeV EB mask writing
机译:
抵抗50-KeV EB掩模书写的加热效果
作者:
Hideaki Sakurai
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Takayuki Abe
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Masamitsu Itoh
;
Toshiba Corp.
;
Yokohama
;
Japan
;
Akitoshi Kumagae
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Hirohito Anze
;
Toshiba Corp.
;
Kawasaki-shi Kanagawa
;
Japan
;
Iwao Higashikawa
;
Toshiba Corp.
;
Kawasaki Kanagawa
;
Japan.
会议名称:
《》
|
1999年
62.
Reticle OPC defect printability and detectability for 180-nm technology
机译:
适用于180 nm技术的光罩OPC缺陷可印刷性和可检测性
作者:
Maciej W. Rudzinski
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
Larry S. Zurbrick
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
Donald W. Pettibone
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA
;
Mohan Ananth
;
KLA-Tencor Corp.
;
San Jose
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
63.
Properties of our developing next-generation photomask substrate
机译:
我们正在开发的下一代光掩模基板的特性
作者:
Masaki Takeuchi
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Niigata
;
Japan
;
Yukio Shibano
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Niigata
;
Japan
;
Shinichi Kusama
;
Shin-Etsu Chemical Co.
;
Ltd.
;
Niigata
;
Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
64.
New approach for realizing k1=0.3 optical lithography
机译:
实现k1 = 0.3光刻的新方法
作者:
Author(s): Masanobu Hasegawa Canon Inc. Utsunomiya-shi Tochigi Japan
;
Akiyoshi Suzuki Canon Inc. Utsunomiya-shi Tochigi Japan
;
Kenji Saito Canon Inc. Utsunomiya-shi Tochigi Japan
;
Minoru Yoshii Canon Inc. Utunomiya Tochigi-Ken Japan.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
65.
Electron-beam lithography simulation for mask making: III. Effect of spot size address grid and raster writing strategies on lithography performance with PBS and ZEP-7000
机译:
掩模制造的电子束光刻仿真:III。点尺寸地址网格和光栅写入策略对PBS和ZEP-7000光刻性能的影响
作者:
Chris A. Mack
;
FINLE Technologies
;
Inc.
;
Austin
;
TX
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
66.
Electron-beam lithography simulation for maskmaking: IV. Effect of resist contrast on isofocal dose
机译:
用于掩模制造的电子束光刻仿真:IV。抗蚀剂对比对等焦剂量的影响
作者:
Charles A. Sauer
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Chris A. Mack
;
FINLE Technologies
;
Inc.
;
Austin
;
TX
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
67.
Enhanced pattern fidelity experiment for subquarter-micron design rule mask making
机译:
用于亚微米设计规则掩模制作的增强型图案保真度实验
作者:
Do Yun Kim
;
DuPont Photomasks Korea Ltd.
;
Kyoungki-do
;
South Korea
;
Cheol Shin
;
DuPont Photomasks Korea Ltd.
;
Ichon-kun
;
Kyunggi-do
;
South Korea
;
H.S. Jung
;
DuPont Photomasks Korea Ltd.
;
Kyunggi-do
;
South Korea
;
J.S. Cho
;
DuPont Photomasks Korea Ltd.
;
I chon -Si
;
South Korea.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
68.
Advancements in focused ion beam repair of MoSiON phase-shifting masks
机译:
MoTiON相移掩模聚焦离子束修复的研究进展
作者:
Joshua Lessing
;
Micrion Corp.
;
Peabody
;
MA
;
USA
;
David C. Ferranti
;
Micrion Corp.
;
Peabody
;
MA
;
USA
;
Ganesh Sundaram
;
Micrion Corp.
;
Peabody
;
MA
;
USA
;
Ludwig Nagal
;
Siemens AG
;
Munchen
;
Germany
;
Martin Verbeek
;
Siemens AG
;
Munchen
;
Germany.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
69.
Design considerations for an electron-beam pattern generator for the 130-nm generation of masks
机译:
用于130纳米掩模的电子束图形发生器的设计注意事项
作者:
Frank E. Abboud
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Sergey V. Babin
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Varoujan Chakarian
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Abe Ghanbari
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Robert Innes
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Frederick Raymond
;
III
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Allan L. Sagle
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA
;
Charles A. Sauer
;
Etec Systems
;
Inc.
;
Hayward
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
70.
Resolution enhancement with high-transmission attenuating phase-shift masks
机译:
使用高透射衰减相移掩模提高分辨率
作者:
Robert J. Socha
;
National Semiconductor Corp.
;
Santa Clara
;
CA
;
USA
;
Will E. Conley
;
National Semiconductor Corp.
;
Austin
;
TX
;
USA
;
Xuelong Shi
;
National Semiconductor Corp.
;
Austin
;
TX
;
USA
;
Mircea V. Dusa
;
National Semiconductor Corp.
;
Santa Clara
;
CA
;
USA
;
John S. Petersen
;
Petersen Advanced Lithography
;
Austin
;
TX
;
USA
;
Jang Fung Chen
;
MicroUnity Systems Engineering
;
Inc.
;
Cupertino
;
CA
;
USA
;
Kurt E. Wampler
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Tom L. Laidig
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA
;
Roger F. Caldwell
;
MicroUnity Systems Engineering
;
Inc.
;
Santa Clara
;
CA
;
USA.
会议名称:
《Conference on photomask and X-Ray mask technology》
|
1999年
意见反馈
回到顶部
回到首页