掌桥科研
一站式科研服务平台
科技查新
收录引用
专题文献代查
外文数据库(机构版)
更多产品
首页
成为会员
我要充值
退出
我的积分:
中文会员
开通
中文文献批量获取
外文会员
开通
外文文献批量获取
我的订单
会员中心
我的包量
我的余额
登录/注册
文献导航
中文期刊
>
中文会议
>
中文学位
>
中国专利
>
外文期刊
>
外文会议
>
外文学位
>
外国专利
>
外文OA文献
>
外文科技报告
>
中文图书
>
外文图书
>
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
工业技术
基础科学
医药卫生
农业科学
教科文艺
经济财政
社会科学
哲学政法
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
自然科学总论
数学、物理、化学、力学
天文学、地球科学
生物科技
医学、药学、卫生
航空航天、军事
农林牧渔
机械、仪表工业
化工、能源
冶金矿业
电子学、通信
计算机、自动化
土木、建筑、水利
交通运输
轻工业技术
材料科学
电工技术
一般工业技术
环境科学、安全科学
图书馆学、情报学
社会科学
其他
美国国防部AD报告
美国能源部DE报告
美国航空航天局NASA报告
美国商务部PB报告
外军国防科技报告
美国国防部
美国参联会主席指示
美国海军
美国空军
美国陆军
美国海军陆战队
美国国防技术信息中心(DTIC)
美军标
美国航空航天局(NASA)
战略与国际研究中心
美国国土安全数字图书馆
美国科学研究出版社
兰德公司
美国政府问责局
香港科技大学图书馆
美国海军研究生院图书馆
OALIB数据库
在线学术档案数据库
数字空间系统
剑桥大学机构知识库
欧洲核子研究中心机构库
美国密西根大学论文库
美国政府出版局(GPO)
加利福尼亚大学数字图书馆
美国国家学术出版社
美国国防大学出版社
美国能源部文献库
美国国防高级研究计划局
美国陆军协会
美国陆军研究实验室
英国空军
美国国家科学基金会
美国战略与国际研究中心-导弹威胁网
美国科学与国际安全研究所
法国国际关系战略研究院
法国国际关系研究所
国际宇航联合会
美国防务日报
国会研究处
美国海运司令部
北约
盟军快速反应部队
北约浅水行动卓越中心
北约盟军地面部队司令部
北约通信信息局
北约稳定政策卓越中心
美国国会研究服务处
美国国防预算办公室
美国陆军技术手册
一般OA
科技期刊论文
科技会议论文
图书
科技报告
科技专著
标准
其它
美国卫生研究院文献
分子生物学
神经科学
药学
外科
临床神经病学
肿瘤学
细胞生物学
遗传学
公共卫生&环境&职业病
应用微生物学
全科医学
免疫学
动物学
精神病学
兽医学
心血管
放射&核医学&医学影像学
儿科
医学进展
微生物学
护理学
生物学
牙科&口腔外科
毒理学
生理学
医院管理
妇产科学
病理学
生化技术
胃肠&肝脏病学
运动科学
心理学
营养学
血液学
泌尿科学&肾病学
生物医学工程
感染病
生物物理学
矫形
外周血管病
药物化学
皮肤病学
康复学
眼科学
行为科学
呼吸学
进化生物学
老年医学
耳鼻喉科学
发育生物学
寄生虫学
病毒学
医学实验室检查技术
生殖生物学
风湿病学
麻醉学
危重病护理
生物材料
移植
医学情报
其他学科
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
人类生活必需品
作业;运输
化学;冶金
纺织;造纸
固定建筑物
机械工程;照明;加热;武器;爆破
物理
电学
马克思主义、列宁主义、毛泽东思想、邓小平理论
哲学、宗教
社会科学总论
政治、法律
军事
经济
文化、科学、教育、体育
语言、文字
文学
艺术
历史、地理
自然科学总论
数理科学和化学
天文学、地球科学
生物科学
医药、卫生
农业科学
工业技术
交通运输
航空、航天
环境科学、安全科学
综合性图书
主题
主题
题名
作者
关键词
摘要
高级搜索 >
外文期刊
外文会议
外文学位
外国专利
外文图书
外文OA文献
中文期刊
中文会议
中文学位
中国专利
中文图书
外文科技报告
清除
历史搜索
清空历史
首页
>
外文会议
>
International Test Conference
International Test Conference
召开年:
2019
召开地:
Washington(US)
出版时间:
-
会议文集:
-
会议论文
热门论文
全部论文
全选(
0
)
清除
导出
共
3426
条结果
1.
Safety Design of a Convolutional Neural Network Accelerator with Error Localization and Correction
机译:
卷积神经网络加速器具有误差定位和校正的安全设计
作者:
Zheng Xu
;
Jacob Abraham
会议名称:
《International Test Conference》
|
2019年
2.
On Freedom from Interference in Mixed-Criticality Systems: A Causal Learning Approach
机译:
免于干扰混合关键系统的自由:一种因果学习方法
作者:
Fei Su
;
Prashant Goteti
;
Min Zhang
会议名称:
《International Test Conference》
|
2019年
关键词:
Freedom from Interference;
Mixed-Criticality;
Anomaly;
Functional Safety;
Causal Learning;
3.
Knowledge Transfer in Board-Level Functional Fault Identification using Domain Adaptation
机译:
使用域自适应在板级功能故障识别中的知识转移
作者:
Mengyun Liu
;
Xin Li
;
Krishnendu Chakrabarty
;
Xinli Gu
会议名称:
《International Test Conference》
|
2019年
关键词:
electronic engineering computing;
fault diagnosis;
integrated circuit reliability;
learning (artificial intelligence);
4.
Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses
机译:
变异感知小型延迟故障诊断压缩测试响应
作者:
Stefan Holst
;
Eric Schneider
;
Michael A. Kochte
;
Xiaoqing Wen
;
Hans-Joachim Wunderlich
会议名称:
《International Test Conference》
|
2019年
关键词:
small delay defect;
logic diagnosis;
test compression;
process variation;
GP-GPU;
5.
SoC Security Verification using Property Checking
机译:
SOC安全验证使用属性检查
作者:
Nusrat Farzana
;
Fahim Rahman
;
Mark Tehranipoor
;
Farimah Farahmandi
会议名称:
《International Test Conference》
|
2019年
关键词:
formal verification;
security;
service-oriented architecture;
system-on-chip;
6.
IEEE Std. P1687.1: Translator and Protocol
机译:
IEEE STD。 P1687.1:翻译和协议
作者:
Erik Larsson
;
Prathamesh Murali
;
Gani Kumisbek
会议名称:
《International Test Conference》
|
2019年
关键词:
IEEE Std. P1687.1;
IEEE Std. 1687;
IEEE Std. 1149.1;
UART;
embedded instruments;
7.
Is Backside the New Backdoor in Modern SoCs?: Invited Paper
机译:
是在现代Socs的新后门背后吗?:邀请纸
作者:
Nidish Vashistha
;
M Tanjidur Rahman
;
Olivia P. Paradis
;
Navid Asadizanjani
会议名称:
《International Test Conference》
|
2019年
关键词:
integrated circuits;
security;
system-on-chip;
8.
Methodology of Generating Timing-Slack-Based Cell-Aware Tests
机译:
生成基于时序的细胞感知测试的方法
作者:
Yu-Teng Nien
;
Kai-Chiang Wu
;
Dong-Zhen Lee
;
Ying-Yen Chen
;
Po-Lin Chen
;
Mason Chern
;
Jih-Nung Lee
;
Shu-Yi Kao
;
Mango Chia-Tso Chao
会议名称:
《International Test Conference》
|
2019年
9.
Fault-Tolerant Neuromorphic Computing Systems
机译:
容错神经形态计算系统
作者:
Arjun Chaudhuri
;
Mengyun Liu
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
10.
Characterization of Locked Combinational Circuits via ATPG
机译:
ATPG锁定组合电路的特征
作者:
Danielle Duvalsaint
;
Xiaoxiao Jin
;
Benjamin Niewenhuis
;
R. D. Blanton
会议名称:
《International Test Conference》
|
2019年
关键词:
Hardware Security;
Logic Locking;
Obfuscation;
11.
Recycled Analog and Mixed Signal Chip Detection at Zero Cost Using LDO Degradation
机译:
使用LDO降解以零成本再循环的模拟和混合信号芯片检测
作者:
Sreeja Chowdhury
;
Fatemeh Ganji
;
Troy Bryant
;
Nima Maghari
;
Domenic Forte
会议名称:
《International Test Conference》
|
2019年
12.
Testing of Neuromorphic Circuits: Structural vs Functional
机译:
神经胸电路测试:结构VS功能
作者:
Anteneh Gebregiorgis
;
Mehdi B. Tahoori
会议名称:
《International Test Conference》
|
2019年
关键词:
integrated circuit testing;
neuromorphic engineering;
13.
Improving Test Chip Design Efficiency via Machine Learning
机译:
通过机器学习提高测试芯片设计效率
作者:
Zeye Liu
;
Qicheng Huang
;
Chenlei Fang
;
R. D. Blanton
会议名称:
《International Test Conference》
|
2019年
14.
Breaking Analog Locking Techniques via Satisfiability Modulo Theories
机译:
通过可满足的模压理论破坏模拟锁定技术
作者:
N. G. Jayasankaran
;
A. Sanabria Borbon
;
A. Abuellil
;
E. Sánchez-Sinencio
;
J. Hu
;
J. Rajendran
会议名称:
《International Test Conference》
|
2019年
关键词:
analogue integrated circuits;
Boolean functions;
computability;
security;
15.
Device-Aware Test: A New Test Approach Towards DPPB Level
机译:
设备感知测试:对DPPB级别的新测试方法
作者:
Moritz Fieback
;
Lizhou Wu
;
Guilherme Cardoso Medeiros
;
Hassen Aziza
;
Siddharth Rao
;
Erik Jan Marinissen
;
Mottaqiallah Taouil
;
Said Hamdioui
会议名称:
《International Test Conference》
|
2019年
关键词:
fault diagnosis;
fault simulation;
integrated circuit testing;
magnetic tunnelling;
MRAM devices;
random-access storage;
16.
Fault Recovery in Micro-Electrode-Dot-Array Digital Microfluidic Biochips Using an IJTAG NetworkBehaviors
机译:
使用IJTAG NetworkBehaviors微电极 - 点阵列数字微流体生物芯片中的故障恢复
作者:
Zhanwei Zhong
;
Krishnendu Chakrabarty
会议名称:
《International Test Conference》
|
2019年
17.
Efficient Analog Defect Simulation
机译:
高效的模拟缺陷仿真
作者:
Stephen Sunter
会议名称:
《International Test Conference》
|
2019年
关键词:
benchmark;
design for test;
analog fault model;
18.
Deploying A Machine Learning Solution As A Surrogate
机译:
将机器学习解决方案部署为代理
作者:
Chuanhe Shan
;
Ahmed Wahba
;
Li-C. Wang
;
Nik Sumikawa
会议名称:
《International Test Conference》
|
2019年
关键词:
learning (artificial intelligence);
19.
An Overview of the International Microprocessor/ SoC Test, Security and Validation (MTV)Workshop
机译:
国际微处理器/ SOC测试,安全性和验证(MTV)研讨会概述
作者:
Magdy Abadir
;
Sohrab Aftabjahani
会议名称:
《International Test Conference》
|
2019年
关键词:
Microprocessor;
SoC;
test;
verification;
security;
workshop;
20.
An Overview of the International Verification and Security Workshop (IVSW)
机译:
国际核查和安全研讨会(IVSW)的概述
作者:
Magdy Abadir
;
Sohrab Aftabjahani
会议名称:
《International Test Conference》
|
2019年
关键词:
formal verification;
integrated circuit design;
security;
system-on-chip;
21.
Subtle Anomaly Detection of Microscopic Probes using Deep learning based Image Completion
机译:
基于深度学习图像完成的微妙异常检测显微探针
作者:
Kosuke Ikeda
;
Keith Schaub
;
Ira Leventhal
;
Yiorgos Makris
;
Constantinos Xanthopoulos
;
Deepika Neethirajan
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic optical inspection;
electronic engineering computing;
learning (artificial intelligence);
manufacturing processes;
neural nets;
production engineering computing;
semiconductor device manufacture;
22.
Virtual Memory Structures Facilitating Memory BIST Insertion In Complex SoCs
机译:
虚拟内存结构促进内存BIST插入复杂SOC
作者:
Tal Kogan
;
Yehonatan Abotbol
会议名称:
《International Test Conference》
|
2019年
23.
Applying Vstress and defect activation coverage to produce zero-defect mixed-signal automotive ICs
机译:
应用Vstress和缺陷激活覆盖,产生零缺陷混合信号汽车IC
作者:
Wim Dobbelaere
;
Frederik Colle
;
Anthony Coyette
;
Ronny Vanhooren
;
Nektar Xama
;
Jhon Gomez
;
Georges Gielen
会议名称:
《International Test Conference》
|
2019年
关键词:
automotive electronics;
mixed analogue-digital integrated circuits;
24.
China Test Conference (CTC) - Extending the Global Test Forum to China
机译:
中国测试会议(CTC) - 将全球测试论坛扩展到中国
作者:
Huawei Li
;
Xiaowei Li
;
Yinhe Han
会议名称:
《International Test Conference》
|
2019年
关键词:
CTC;
ITC;
Global Test Forum;
Test Conference;
25.
Compaction of a Functional Broadside Test Set through the Compaction of a Functional Test Sequence without Sequential Fault Simulation
机译:
通过无序故障模拟的功能测试序列的压实,通过压实来压实功能宽边测试
作者:
Irith Pomeranz
会议名称:
《International Test Conference》
|
2019年
26.
Simulation-based Equivalence Checking between IEEE 1687 ICL and RTL
机译:
基于模拟的IEEE 1687 ICL和RTL的等价检查
作者:
Aleksa Damljanovic
;
Artur Jutman
;
Michele Portolan
;
Ernesto Sanchez
;
Giovanni Squillero
;
Anton Tsertov
会议名称:
《International Test Conference》
|
2019年
关键词:
Simulation;
RTL;
ICL;
Code-coverage;
Pattern Generation;
Reconfigurable Scan Networks;
IEEE 1687;
27.
Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT Techniques
机译:
通过使用优化 - SAT技术通过测试点插入完全故障覆盖
作者:
Stephan Eggersglü?
会议名称:
《International Test Conference》
|
2019年
28.
International Test Conference in Asia (ITC-Asia) - Bridging ITC and Test Community in Asia
机译:
亚洲国际考试会议(ITC-Asia) - 弥合亚洲的ITC和测试社区
作者:
Kuen-Jong Lee
;
Shi-Yu Huang
;
Huawei Li
;
Tomoo Inoue
;
Yervant Zorian
会议名称:
《International Test Conference》
|
2019年
关键词:
ITC-Asia;
ITC;
Test Conferences;
Test Technology;
29.
17th IEEE East-West Design and Test Symposium
机译:
第17届IEEE East-West设计和测试研讨会
作者:
Yervant Zorian
;
Vladimir Hahanov
;
Svetlana Chumachenko
;
Eugenia Litvinova
会议名称:
《International Test Conference》
|
2019年
关键词:
IEEE;
Design and Test;
Computer Engineering;
Conference Computing;
Online Reviewing;
30.
IEEE International Symposium on Hardware Oriented Security and Trust (HOST): Past, Present, and Future
机译:
IEEE关于硬件的安全和信托(主机)的国际研讨会:过去,现在和未来
作者:
Domenic Forte
;
Swarup Bhunia
;
Ramesh Karri
;
Jim Plusquellic
;
Mark Tehranipoor
会议名称:
《International Test Conference》
|
2019年
31.
International Symposium on Design and Diagnostics of Electronic Circuits and Systems
机译:
电子电路和系统的设计与诊断国际研讨会
作者:
Zoran Stamenkovic
;
Alberto Bosio
;
Gyorgy Cserey
;
Ondrej Novak
;
Witold Pleskacz
;
Lukas Sekanina
;
Andreas Steininger
;
Goran Stojanovic
;
Viera Stopjakova
会议名称:
《International Test Conference》
|
2019年
关键词:
symposium;
DDECS;
electronics;
circuits;
systems;
32.
Asian Test Symposium - Past, Present and Future -
机译:
亚洲考试研讨会 - 过去,现在和未来 -
作者:
Michiko Inoue
;
Xiaowei Li
;
Cheng-Wen Wu
会议名称:
《International Test Conference》
|
2019年
33.
IEEE International Conference on Automation, Quality and Testing, Robotics (AQTR)
机译:
IEEE自动化,质量和检测国际会议,机器人(AQTR)
作者:
Szilárd Enyedi
;
Liviu Miclea
会议名称:
《International Test Conference》
|
2019年
关键词:
Internet of Things;
program testing;
robots;
34.
Advanced Burn-In - An Optimized Product Stress and Test Flow for Automotive Microcontrollers
机译:
高级烧坏 - 汽车微控制器的优化产品压力和测试流程
作者:
Chen He
会议名称:
《International Test Conference》
|
2019年
关键词:
failure analysis;
integrated circuit design;
integrated circuit reliability;
integrated circuit yield;
microcontrollers;
35.
Efficiency Measurement Method for Fully Integrated Voltage Regulators used in 4th and 5th Generation Intel? Core? Microprocessors
机译:
用于4
TH SUP>和5
TH SUP>生成英特尔的完全集成电压调节器的效率测量方法?核?微处理器
作者:
Gerhard Schrom
;
Michael J. Hill
;
Sarath Makala
;
Ravi Sankar Vunnam
;
Arun Krishnamoorthy
;
Ryan Ferguson
会议名称:
《International Test Conference》
|
2019年
关键词:
Integrated Voltage Regulators;
Buck Converter;
Power Efficiency;
VR Characterization;
Power Loss Modeling;
36.
TestDNA: Novel Wafer Defect Signature for Diagnosis and Yield Learning
机译:
TestDNA:用于诊断和产量学习的新型晶圆缺陷签名
作者:
Andrew Yi-Ann Huang
;
Katherine Shu-Min Li
;
Cheng-Yen Tsai
;
Ken Chau-Cheung Cheng
;
Sying-Jyan Wang
;
Xu-Hao Jiang
;
Leon Chou
;
Chen-Shiun Lee
会议名称:
《International Test Conference》
|
2019年
关键词:
wafer test;
wafer defect map;
wafer defect pattern;
test probe;
test probing track;
test probing order;
wafer test syndrome;
yield learning;
37.
Optimized Physical DFT Synthesis of Unified Compression and LBIST for Automotive Applications
机译:
用于汽车应用的统一压缩和Lbist的优化物理DFT合成
作者:
Christos Papameletis
;
Vivek Chickermane
;
Brian Foutz
;
Sarthak Singhal
;
Krishna Chakravadhanula
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
automotive electronics;
built-in self test;
design for testability;
logic testing;
38.
High Quality Test Methodology for Highly Reliable Devices
机译:
高质量的高质量测试方法,适用于高度可靠的设备
作者:
Hao Chen
;
Mincent Lee
;
Liang-Yen Chen
;
Min-Jer Wang
会议名称:
《International Test Conference》
|
2019年
关键词:
Integration fan-out wafer level chip scale package (InFO WLCSP);
IPD;
image-processing;
overkill;
underkill;
package on package (PoP);
dynamic random access memory (DRAM);
redistribution layer (RDL);
partition design;
39.
Memory FIT Rate Mitigation Technique for Automotive SoCs
机译:
汽车SOC的记忆配合速率缓解技术
作者:
Gabriele Boschi
;
Donato Luongo
;
Duccio Lazzarotti
;
Hanna Shaheen
;
Hayk Grigoryan
;
Gurgen Harutyunyan
;
Samvel Shoukourian
;
Yervant Zorian
会议名称:
《International Test Conference》
|
2019年
关键词:
automotive;
ECC;
FIT rate;
reliability;
vulnerability factor;
40.
Effectively Using Machine Learning to Expedite System Level Test Failure Debug
机译:
有效地使用机器学习来加快系统级测试失败调试
作者:
Luis D. Rojas
;
Kevin Hess
;
Christina Carter-Brown
会议名称:
《International Test Conference》
|
2019年
关键词:
learning (artificial intelligence);
pattern classification;
program debugging;
support vector machines;
41.
Applications of Hierarchical Test
机译:
层次测试的应用
作者:
Kelly Ockunzzi
;
Richard Grupp
;
Brion Keller
;
Mark Taylor
;
Sreekanth Pai
;
Greeshma Jayakumar
会议名称:
《International Test Conference》
|
2019年
关键词:
automatic test pattern generation;
circuit CAD;
integrated circuit design;
integrated circuit testing;
logic testing;
42.
Application of Cell-Aware Test on an Advanced 3nm CMOS Technology Library
机译:
在高级3NM CMOS技术库中的应用程序感知测试在应用中的应用
作者:
Zhan Gao
;
Santosh Malagi
;
Min-Chun Hu
;
Joe Swenton
;
Rogier Baert
;
Jos Huisken
;
Bilal Chehab
;
Kees Goossen
;
Erik Jan Marinissen
会议名称:
《International Test Conference》
|
2019年
43.
IEEE European Test Symposium (ETS)
机译:
IEEE欧洲测试研讨会(ETS)
作者:
Stephan Eggersglü?
;
Said Hamdioui
;
Artur Jutman
;
Maria K. Michael
;
Jaan Raik
;
Matteo Sonza Reorda
;
Mehdi Tahoori
;
Elena-Ioana Vatajelu
会议名称:
《International Test Conference》
|
2019年
44.
The Challenges of Implementing an MBIST Interface: A Practical Application
机译:
实施MBist接口的挑战:实际应用
作者:
Teresa McLaurin
;
Rob Knoth
会议名称:
《International Test Conference》
|
2019年
关键词:
built-in self test;
formal specification;
integrated circuit testing;
program testing;
45.
BIST of I/O circuit parameters via standard boundary scan
机译:
通过标准边界扫描的I / O电路参数BIST
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
46.
Adaptive test flow for mixed-signal/RF circuits using learned information from device under test
机译:
用于混合信号/射频电路的自适应测试流程,使用从测试设备中获取的学习信息
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
47.
Mining AC delay measurements for understanding speed-limiting paths
机译:
用于了解速度限制路径的挖掘交流延迟测量
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
48.
Timing skew compensation technique using digital filter with novel linear phase condition
机译:
具有新型线性相位条件的数字滤波器定时偏斜补偿技术
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
关键词:
ATE;
Digital Error Correction;
Digital Filter;
Digitally-Assisted Analog Technology;
Linear Phase;
Timing Skew;
49.
Shadow checker (SC): A low-cost hardware scheme for online detection of faults in small memory structures of a microprocessor
机译:
暗影检查器(SC):一种低成本的硬件方案,用于在线检测微处理器的小内存结构中的故障
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
50.
A practical scan re-use scheme for system test
机译:
用于系统测试的实用扫描重复使用方案
作者:
Lee Kelly
会议名称:
《International Test Conference》
|
2010年
51.
A MEMS based device interface board
机译:
基于MEMS的设备接口板
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
52.
Optimization methods for post-bond die-internal/external testing in 3D stacked ICs
机译:
3D堆叠IC中粘合后芯片内/外部测试的优化方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
53.
Fault models and test methods for subthreshold SRAMs
机译:
亚阈值SRAM的故障模型和测试方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
54.
Leveraging existing power control circuits and power delivery architecture for variability measurement
机译:
利用现有的功率控制电路和用于可变性测量的电力输送架构
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
55.
A fast and highly accurate path delay emulation framework for logic-emulation of timing speculation
机译:
用于定时猜测的逻辑仿真的快速且高度精确的路径延迟仿真框架
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
56.
A tester architecture suitable for MEMS calibration and testing
机译:
适用于MEMS校准和测试的测试仪架构
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
57.
AXIe#x00AE; 2.0 and MVP-C: Open ATE software standards
机译:
AXIE®2.0和MVP-C:开放式ATE软件标准
作者:
Spargo Kenneth
会议名称:
《International Test Conference》
|
2010年
58.
Using context based methods for test data compression
机译:
使用基于上下文的测试数据压缩方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
59.
Welcome message
机译:
欢迎信息
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
60.
ADC linearity testing method with single analog monitoring port
机译:
具有单模拟监控端口的ADC线性测试方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
61.
Automated test program generation for automotive devices
机译:
汽车设备自动测试程序生成
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
62.
Structural approach for built-in tests in RF devices
机译:
RF设备内置测试的结构方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
63.
A kernel-based approach for functional test program generation
机译:
基于内核的功能测试程序生成方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
64.
Mutation-based diagnostic test generation for hardware design error diagnosis
机译:
基于突变的硬件设计错误诊断诊断测试生成
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
65.
New tools and methodology for advanced parametric and defect structure test
机译:
高级参数和缺陷结构测试的新工具和方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
66.
On maximizing the compound yield for 3D Wafer-to-Wafer stacked ICs
机译:
以最大化3D晶片到晶片堆叠IC的化合物产量
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
关键词:
3D integration;
compound yield;
matching criteria;
wafer matching;
wafer-to-wafer stacking;
67.
Constrained ATPG for functional RTL circuits using F-Scan
机译:
使用F扫描约束ATPG for功能r rl电路
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
68.
Lessons from at-speed scan deployment on an Intel#x00AE; Itanium#x00AE; microprocessor
机译:
在英特尔®伊坎®微处理器上的速度扫描部署的课程
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
69.
Practical active compensation techniques for ATE power supply response for testing of mixed signal data storage SOCs
机译:
用于测试混合信号数据存储SOC的测试响应的实用有源补偿技术
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
70.
Author index
机译:
作者索引
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
71.
Surviving state disruptions caused by test: The #x201C;Lobotomy Problem#x201D;
机译:
试验造成的幸存态中断:“裂开术问题”
作者:
Parker Kenneth P.
会议名称:
《International Test Conference》
|
2010年
72.
TTTC: Test technology technical council
机译:
TTTC:测试技术技术委员会
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
73.
Principal Component Analysis-based compensation for measurement errors due to mechanical misalignments in PCB testing
机译:
基于主成分分析的基于PCB测试中的机械错位的测量误差的补偿
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
关键词:
Board testing;
Capacitive open testing;
74.
Engineering paradise: Inspiring the next generation to build a smarter planet
机译:
工程天堂:鼓励下一代建立一个更聪明的星球
作者:
Cohn John
会议名称:
《International Test Conference》
|
2010年
75.
Modeling TSV open defects in 3D-stacked DRAM
机译:
在3D堆叠DRAM中建模TSV开放缺陷
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
76.
Soft error reliability aware placement and routing for FPGAs
机译:
软错误可靠性意识到FPGA的放置和路由
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
77.
Testing of latch based embedded arrays using scan tests
机译:
使用扫描测试测试基于锁存的嵌入式阵列
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
78.
Panel title: 3-D test —A new paradigm in semiconductor test
机译:
面板标题:3-D测试-A半导体测试中的新范式
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
79.
A high density small size RF test module for high throughput multiple resource testing
机译:
高密度小尺寸RF测试模块,用于高吞吐量多重资源测试
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
80.
An on-line monitoring technique for electrode degradation in bio-fluidic microsystems
机译:
生物流体微系统中电极降解的在线监测技术
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
81.
Title page
机译:
封面
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
82.
2010 Technical paper reviewers
机译:
2010技术纸质评论者
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
83.
A programmable BIST for DRAM testing and diagnosis
机译:
用于DRAM测试和诊断的可编程BIST
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
84.
Low capture power at-speed test in EDT environment
机译:
EDT环境中的低捕获功率在速度测试
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
85.
Complete testing of receiver jitter tolerance
机译:
完全测试接收器抖动容差
作者:
Lyons Timothy D.
会议名称:
《International Test Conference》
|
2010年
86.
Automated trace signals selection using the RTL descriptions
机译:
自动化跟踪信号选择使用RTL描述
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
87.
Systematic defect identification through layout snippet clustering
机译:
通过布局片段聚类系统缺陷识别
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
88.
Post-production performance calibration in analog/RF devices
机译:
模拟/射频设备的后期生产性能校准
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
89.
Methodology for early and accurate test power estimation at RTL
机译:
用于RTL的早期和准确测试功率估计的方法
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
90.
Parity prediction synthesis for nano-electronic gate designs
机译:
纳米电子栅极设计的奇偶校验预测合成
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
91.
Package test interface fixture considering low cost solution, high electrical performance, and compatibility with fine pitch packages
机译:
封装测试界面夹具考虑低成本解决方案,高电工性能和与细间距包装的兼容性
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
92.
Precision audio nulling instrumentation achieves near #x2212;140dB measurements in a production environment
机译:
精密音频无效仪器在生产环境中达到-140dB测量附近
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
93.
ITC 2010 most significant paper award
机译:
ITC 2010最重要的论文奖
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
94.
Scan chain securization though Open-Circuit Deadlocks
机译:
通过开路僵局扫描链证券化
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
95.
A diagnostic test generation system
机译:
诊断测试生成系统
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
96.
Board-level fault diagnosis using an error-flow dictionary
机译:
使用误差字典的板级故障诊断
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
97.
Hard to find, easy to find systematics; just find them
机译:
很难找到,易于找到系统性;找到它们
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
98.
Mask versus Schematic - an enhanced design-verification flow for first silicon success
机译:
掩模与原理图 - 增强了第一次硅成功的设计验证流程
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
99.
Towards effective and compression-friendly test of memory interface logic
机译:
朝向有效和压缩的内存接口逻辑测试
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
100.
High-Volume Scan Analysis: Practical challenges and applications for industrial IC development
机译:
高批量扫描分析:工业IC开发的实用挑战和应用
作者:
(missing)
会议名称:
《International Test Conference》
|
2010年
上一页
1
2
3
4
5
6
7
8
9
10
11
下一页
意见反馈
回到顶部
回到首页